Publikationen

TAPRE-HBM: Trace-Based Processor Rapid Emulation Using HBM on FPGAs

Autor(en)
Knödtel, Johannes, Munoz Hernandez, Hector Gerardo, Lehnert, Alexander, Thieu, Gia Bao, Gesper, Sven, Payá-Vayá, Guillermo, Reichenbach, Marc
Herausgeber
Palumbo, Francesca, Keramidas, Georgios, Voros, Nikolaos, Diniz, Pedro C.
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2023
Verlag
Cham : Springer
Quelle
Applied Reconfigurable Computing. Architectures, Tools, and Applications, 19th International Symposium, ARC 2023, Cottbus, Germany, September 27–29, 2023, Proceedings, S. 307 - 321
ISBN
978-3-031-42920-0
978-3-031-42921-7
DOI
https://doi.org/10.1007/978-3-031-42921-7_21
Schriftenreihe(n) ; Bandnummer
Lecture Notes in Computer Science ; 14251

A Modular Communication Architecture for Adaptive UAV Swarms

Autor(en)
Shahin, Keyvan, Rotta, Randolf, Archila, Oscar, Mykytyn, Pavlo, Nattke, Matthias, Reichenbach, Marc, Nolte, Jörg, Natarov, Roman
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2023
Verlag
Berlin : IEEE
Quelle
2023 IEEE International Conference on Omni-layer Intelligent Systems (COINS)
ISBN
979-8-3503-4647-3
979-8-3503-4648-0
DOI
https://doi.org/10.1109/COINS57856.2023.10189245

A Design-Space Exploration Framework for Application-Specific Machine Learning Targeting Reconfigurable Computing

Autor(en)
Mahmood, Safdar, Hübner, Michael, Reichenbach, Marc
Herausgeber
Palumbo, Francesca, Keramidas, Georgios, Voros, Nikolaos, Diniz, Pedro C.
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2023
Verlag
Cham : Springer
Quelle
Applied Reconfigurable Computing. Architectures, Tools, and Applications, 19th International Symposium, ARC 2023, Cottbus, Germany,September 27-29, 2023, S. 371 - 374
ISBN
978-3-031-42921-7
978-3-031-42920-0
DOI
https://doi.org/10.1007/978-3-031-42921-7_27

A New Chaos-Based PRNG Hardware Architecture Using The HUB Fixed-Point Format

Autor(en)
Da Silva, Samuel Souza, Cardoso, Matheus, Nardo, Lucas, Nepomuceno, Erivelton, Hübner, Michael, Arias-Garcia, Janier
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2023
Quelle
IEEE Transactions on Instrumentation and Measurement, S. 1 - 8
Band/Jahrgang
Vol. 72
ISSN
1557-9662
0018-9456
DOI
https://doi.org/10.1109/TIM.2023.3235457

An RRAM-based building block for reprogrammable non-uniform sampling ADCs

Autor(en)
Vishwakarma, Abhinav, Fritscher, Markus, Hagelauer, Amelie, Reichenbach, Marc
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2023
Quelle
Information Technology : it, S. 39 - 51
Band/Jahrgang
65
Ausgabe/Heft
1-2
ISSN
2196-7032
DOI
https://doi.org/10.1515/itit-2023-0021

A cross-layer framework for adaptive processor-based systems regarding error resilience and power efficiency

Autor(en)
Veleski, Mitko
Publikationsart
Dissertation
Erscheinungsjahr
2022
URN
urn:nbn:de:kobv:co1-opus4-61450
DOI
https://doi.org/10.26127/BTUOpen-6145

EasyHBM: Simple and Fast HBM Access for FPGAs Using High-Level-Synthesis

Autor(en)
Schwenger, Lars, Holzinger, Philipp, Fey, Dietmar, Munoz Hernandez, Hector Gerardo, Reichenbach, Marc
Herausgeber
Orailoglu, Alex, Reichenbach, Marc, Jung, Matthias
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2022
Verlag
Cham : Springer
Quelle
Embedded computer systems : architectures, modeling, and simulation : 22nd International Conference, SAMOS 2022, Samos, Greece, July 3-7, 2022, proceedings, S. 43 - 60
ISBN
978-3-031-15073-9
978-3-031-15074-6
Schriftenreihe(n) ; Bandnummer
Lecture notes in computer science ; 13511

ICU4SAT: A General-Purpose Reconfigurable Instrument Control Unit Based on Open Source Components

Autor(en)
Nannipieri, Pietro, Giuffrida, Gianluca, Lorenzo, Diana, Panicacci, Silvia, Zulberti, Luca, Fanucci, Luca, Munoz-Hernandez, Hector Gerardo, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2022
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE Aerospace Conference (AERO), 5-12 March 2022, S. 1 - 9
ISBN
978-16654-3760-8
DOI
https://doi.org/10.1109/AERO53065.2022.9843414

Application Runtime Estimation for AURIX Embedded MCU Using Deep Learning 

Autor(en)
Fricke, Florian, Scharoba, Stefan, Rachuj, Sebastian, Konopik, Andreas, Kluge, Florian, Hofstetter, Georg, Reichenbach, Marc 
Herausgeber
Orailoglu, Alex, Reichenbach, Marc, Jung, Matthias
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2022
Verlag
Cham : Springer
Quelle
Embedded computer systems : architectures, modeling, and simulation : 22nd International Conference, SAMOS 2022, Samos, Greece, July 3-7, 2022, proceedings, S. 235 - 249
ISBN
978-3-031-15073-9
978-3-031-15074-6
DOI
https://doi.org/10.1007/978-3-031-15074-6_15
Schriftenreihe(n) ; Bandnummer
Lecture notes in computer science ; 13511

Edge GPU based on an FPGA Overlay Architecture using PYNQ

Autor(en)
Hernandez, Hector Gerardo Muñoz, Fricke, Florian, Al Kadi, Muhammed, Reichenbach, Marc, Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2022
Quelle
35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design (SBCCI). 2022
ISBN
978-1-6654-8128-1
978-1-6654-8129-8
DOI
https://doi.org/10.1109/SBCCI55532.2022.9893229

Artificial Intelligence for Mass Spectrometry and Nuclear Magnetic Resonance Spectroscopy Using a Novel Data Augmentation Method

Autor(en)
Fricke, Florian, Brandalero, Marcelo, Liehr, Sascha, Kern, Simon, Meyer, Klas, Kowarik, Stefan, Hierzegger, Robin, Westerdick, Stephan, Maiwald, Michael, Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2022
Quelle
IEEE Transactions on Emerging Topics in Computing, S. 87 - 98
Band/Jahrgang
10
Ausgabe/Heft
1
ISSN
2168-6750
DOI
https://doi.org/10.1109/TETC.2021.3131371

Suitability of ISAs for Data Paths Based on Redundant Number Systems: Is RISC-V the best?

Autor(en)
Knödtel, Johannes, Rachuj, Sebastian, Reichenbach, Marc
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2022
Verlag
IEEE
Quelle
25th Euromicro Conference on Digital System Design (DSD), 31 August 2022 - 02 September 2022, Maspalomas, Spain, S. 247 - 253
DOI
https://doi.org/10.1109/DSD57027.2022.00041

Modeling and Fault Detection of Brushless Direct Current Motor by Deep Learning Sensor Data Fusion

Autor(en)
Suawa Fogou, Priscile, Meisel, Tenia, Jongmanns, Marcel, Hübner, Michael, Reichenbach, Marc
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2022
Quelle
Sensors
Band/Jahrgang
22
Ausgabe/Heft
9
ISSN
1424-8220
DOI
https://doi.org/10.3390/s22093516

Detecting Improvised Land-mines using Deep Neural Networks on GPR Image Dataset targeting FPGAs 

Autor(en)
Mahmood, Safdar, Scharoba, Stefan, Schorlemer, Jonas, Schulz, Christian, Hübner, Michael, Reichenbach, Marc 
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2022
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE Nordic Circuits and Systems Conference (NORCAS), 25-26 October 2022, Oslo, Norway, S. 1 - 7
ISBN
979-8-3503-4550-6
979-8-3503-4551-3
DOI
https://doi.org/10.1109/norcas57515.2022.9934735

Deep Learning in Signal Linearization for Harmonic Imaging Application

Autor(en)
Fouad, Mariam, Schmitz, Georg, Hübner, Michael, Abdelghany, Mohamed
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Quelle
IEEE 18th International Symposium on Biomedical Imaging (ISBI)
ISBN
978-1-6654-1246-9
978-1-6654-1245-2
978-1-6654-2947-4
DOI
https://doi.org/10.1109/ISBI48211.2021.9434134

Reduced Precision DWC: an Efficient Hardening Strategy for Mixed-Precision Architectures

Autor(en)
Fernandes dos Santos, Fernando, Brandalero, Marcelo, Rech Junior, Rubens Luiz, Hübner, Michael, Rech, Paolo, Sullivan, Michael, Martins Basso, Pedro, Carro, Luigi
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2021
Quelle
IEEE Transactions on Computers, S. 573 - 586
Band/Jahrgang
71(2022)
Ausgabe/Heft
3
ISSN
0018-9340
DOI
https://doi.org/10.1109/TC.2021.3058872

From the Internet of Things to an Internet of Services in Healthcare

Autor(en)
Schmailzl, Georg J. G., Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2021
Quelle
Enhanced Telemedicine and e-Health, S. 141 - 153
ISSN
1860-0808
ISBN
978-3-030-70110-9
978-3-030-70111-6
DOI
https://doi.org/10.1007/978-3-030-70111-6_7
Schriftenreihe(n) ; Bandnummer
Studies in Fuzziness and Soft Computing book series ; volume 410

Accelerating Convolutional Neural Networks in FPGA-based SoCs using a Soft-Core GPU

Autor(en)
Hernandez, Hector Gerardo Muñoz, Veleski, Mitko, Brandalero, Marcelo, Hübner, Michael
Herausgeber
Derrien, Steven, Hannig, Frank, Diniz, Pedro C., Chillet, Daniel
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Verlag
Cham : Springer
Quelle
Applied Reconfigurable Computing. Architectures, Tools, and Applications : 17th International Symposium, ARC 2021, Virtual Event, June 29–30, 2021, Proceedings, S. 275 - 284
ISBN
978-3-030-79024-0
978-3-030-79025-7
DOI
https://doi.org/10.1007/978-3-030-79025-7_20
Schriftenreihe(n) ; Bandnummer
Lecture Notes in Computer Science book series ; volume 12700

A Manycore Vision Processor for Real-Time Smart Cameras

Autor(en)
Da Silva, Bruno A., Lima, Arthur M., Garcia, Janier Arias, Hübner, Michael, Yudi, Jones
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2021
Quelle
Sensors
Band/Jahrgang
21
Ausgabe/Heft
21
ISSN
1424-8220
DOI
https://doi.org/10.3390/s21217137

Performance analysis of application-specific instruction-set routers in networks-on-chip

Autor(en)
Rettkowski, Jens, Haase, Julian, Primus, Sven, Hübner, Michael, Göhringer, Diana
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Verlag
New York : ACM Digital Library
Quelle
NoCArc '21: Performance analysis of application-specific instruction-set routers in networks-on-chip, S. 16 - 21
DOI
https://doi.org/10.1145/3477231.3490426

Embedded AI Techniques for Industrial Applications

Autor(en)
Brandalero, Marcelo, Veleski, Mitko, Muñoz-Hernandez, Hector Gerardo, Ali, Muhammad, Le Jeune, Laurens, Goedemé, Toon, Mentens, Nele, Vandendriessche, Jurgen, Lhoest, Lancelot, Da Silva, Bruno, Touhafi, Abdellah, Goehringer, Diana, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Verlag
Piscataway, NJ : IEEE
Quelle
31st International Conference on Field-Programmable Logic and Applications (FPL), Dresden, Germany, 30 August-3 September 2021, S. 374 - 375
ISBN
978-1-6654-3759-2
DOI
https://doi.org/10.1109/FPL53798.2021.00071

A Low-Power RRAM Memory Block for Embedded, Multi-Level Weight and Bias Storage in Artificial Neural Networks

Autor(en)
Pechmann, Stefan, Mai, Timo, Potschka, Julian, Reiser, Daniel, Reichel, Peter, Breiling, Marco, Reichenbach, Marc, Hagelauer, Amelie
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2021
Quelle
Micromachines
Band/Jahrgang
12
Ausgabe/Heft
11
ISSN
2072-666X
URN
urn:nbn:de:bvb:29-opus4-174878
DOI
https://doi.org/10.3390/mi12111277

Towards Machine Learning Support for Embedded System Tests

Autor(en)
Scharoba, Stefan, Basener, Kai-Uwe, Bielefeldt, Jens, Wiesbrock, Hans-Werner, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Verlag
Piscataway, NJ : IEEE
Quelle
24th Euromicro Conference on Digital System Design, DSD 2021, virtual conference, 1-3 September 2021, S. 166 - 173
ISBN
978-1-6654-2703-6
978-1-6654-2704-3
DOI
https://doi.org/10.1109/dsd53832.2021.00034

Evaluating softcore GPU in SRAM-based FPGA under radiation-induced effects

Autor(en)
Giani, Braga, Benevenuti, Fabio, Gonçalves, Marcio M., Hübner, Michael, Brandalero, Marcelo, Kastensmidt, Fernanda, Azambuja, Jose Rodrigo
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2021
Quelle
Microelectronics Reliability
Band/Jahrgang
126
ISSN
0026-2714
1872-941X
DOI
https://doi.org/10.1016/j.microrel.2021.114348

Design and implementation strategy of adaptive processor-based systems for error resilient and power-efficient operation

Autor(en)
Veleski, Mitko, Hübner, Michael, Krstic, Milos, Kraemer, Rolf
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Verlag
Piscataway, NJ : IEEE
Quelle
24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS, April 7-9 2021, S. 57 - 62
ISBN
978-1-6654-3595-6
DOI
https://doi.org/10.1109/DDECS52668.2021.9417023

Towards Error Resilient and Power-Efficient Adaptive Multiprocessor System using Highly Configurable and Flexible Cross-Layer Framework

Autor(en)
Veleski, Mitko, Hübner, Michael, Krstic, Milos, Kraemer, Rolf
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS), IOLTS 2021, June 28-30, 2021, S. 1 - 7
ISBN
978-1-6654-3370-9
DOI
https://doi.org/10.1109/IOLTS52814.2021.9486695

DeepTest: How Machine Learning Can Improve the Test of Embedded Systems 

Autor(en)
Bielefeldt, Jens, Basener, Kai-Uwe, Khan, Siddique R., Massah, Mozhdeh, Wiesbrock, Hans-Werner, Scharoba, Stefan, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Verlag
Piscataway, NJ : IEEE
Quelle
10th Mediterranean Conference on Embedded Computing (MECO), 7-10 June 2021, S. 1 - 6
ISBN
978-1-6654-3912-1
978-1-6654-2989-4
DOI
https://doi.org/10.1109/meco52532.2021.9460182

Einsatz von Deep Learning für den Test Eingebetteter Systeme 

Autor(en)
Bielefeldt, Jens, Basener, Kai-Uwe, Khan, Siddique R., Massah, Mozhdeh, Wiesbrock, Hans-Werner, Scharoba, Stefan, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2021
Verlag
Bonn : Gesellschaft für Informatik e.V.
Quelle
Informatik 2021: computer science & sustainability, 27. September-01. Oktober 2021, Berlin
ISBN
978-3-88579-708-1
DOI
https://doi.org/10.18420/INFORMATIK2021-166
Schriftenreihe(n) ; Bandnummer
GI-Edition. Proceedings ; Volume P-314

VLSI for Next Generation CE

Autor(en)
Voros, Nikolaos, Stan, Mircea, Hübner, Michael, Keramidas, Georgios
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
EEE Consumer Electronics Magazine, S. 88 - 89
DOI
https://doi.org/10.1109/MCE.2019.2959747

A Machine Learning Methodology for Cache Memory Design Based on Dynamic Instructions

Autor(en)
Navarro, Osvaldo, Yudi, Jones, Hoffmann, Javier Eduardo, Hernandez, Hector Gerardo Muñoz, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
ACM Transactions on Embedded Computing Systems
DOI
https://doi.org/10.1145/3376920

Domain Adaptive Processor Architectures

Autor(en)
Fricke, Florian, Mahmood, Safdar, Hoffmann, Javier Eduardo, Ali, Muhammad, Shahin, Keyvan, Hübner, Michael, Göhringer, Diana
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
Kommunikation und Bildverarbeitung in der Automation, S. 315 - 330
ISBN
978-3-662-59895-5
978-3-662-59894-8
DOI
https://doi.org/10.1007/978-3-662-59895-5_23
Schriftenreihe(n) ; Bandnummer
Technologien für die intelligente Automation book series ; volume 12

Hardware Module Design and Software Implementation of Multisensor Fire Detection and Notification System Using Fuzzy Logic and Convolutional Neural Networks (CNNs)

Autor(en)
Sowah, Robert A., Apeadu, Kwaku, Gatsi, Francis, Ampadu, Kwame Owusu, Mensah, Baffour S.
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
Journal of Engineering
DOI
https://doi.org/10.1155/2020/3645729

Run-time Hardware Reconfiguration of Functional Units to Support Mixed-Critical Applications

Autor(en)
Segabinazzi Ferreira, Raphael, Nolte, Jörg, Vargas, Fabian, George, Nevin, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
IEEE Latin American Test Symposium (LATS), Maceió, Brazil
DOI
https://doi.org/10.1109/LATS49555.2020.9093692

Toward an ICT-Based Service Oriented Health Care Paradigm

Autor(en)
Antonopoulos, Christos, Keramidas, Georgios, Voros, Nikolaos, Hübner, Michael, Schwiegelshohn, Fynn, Göhringer, Diana, Dagioglou, Maria, Stavrinos, Georgios, Konstantopoulos, Stasinos, Karkaletsis, Vangelis
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Verlag
IEEE
Quelle
IEEE Consumer Electronics Magazine, S. 77 - 82
URL
https://ieeexplore.ieee.org/abstract/document/9109415
DOI
https://doi.org/10.1109/MCE.2020.2969202

Guest Editors’ Introduction: SBCCI 2018

Autor(en)
Hübner, Michael, Guntzel, Jose Luis
Publikationsart
Konferenzveröffentlichung nicht referiert
Erscheinungsjahr
2020
Quelle
IEEE Design & Test, S. 5 - 6
DOI
https://doi.org/10.1109/MDAT.2020.2989094

TIRUB: A Safety and Energy-Aware Scheduling Algorithm

Autor(en)
Hoffmann, Javier Eduardo, Brandalero, Marcelo, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020, Poznan, Poland
ISBN
978-83-62065-39-4
978-83-62065-37-0
DOI
https://doi.org/10.23919/SPA50552.2020.9241280

Highly Configurable Framework for Adaptive Low Power and Error-Resilient System-On-Chip

Autor(en)
Veleski, Mitko, Hübner, Michael, Krstic, Milos, Kraemer, Rolf
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Verlag
IEEE
Quelle
23rd EUROMICRO Conference on Digital System Design (DSD 2020), Portoroz, Slovenia, 26 - 28 August 2020, S. 24 - 28
ISBN
978-1-7281-9535-3
978-1-7281-9536-0
DOI
https://doi.org/10.1109/DSD51259.2020.00015

Aging-Aware Parallel Execution

Autor(en)
Medeiros, Thiarles S., Berned, Gustavo P., Navarro, Antoni, Rossi, Fábio D., Luizelli, Marcelo C., Brandalero, Marcelo, Hübner, Michael, Beck, Antonio Carlos Schneider, Lorenzon, Arthur F.
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2020
Quelle
IEEE Embedded System Letters, S. 122 - 125
Band/Jahrgang
13 (2021)
Ausgabe/Heft
3
ISSN
1943-0663
DOI
https://doi.org/10.1109/LES.2020.3021854

AITIA: Embedded AI Techniques for Industrial Applications

Autor(en)
Brandalero, Marcelo, Ali, Muhammad, Le Jeune, Laurens, Muñoz Hernandez, Hector Gerardo, Veleski, Mitko, Silva, Bruno da, Lemeire, Jan, Van Beeck, Kristof, Touhafi, Abdellah, Goedemé, Toon, Mentens, Nele, Göhringer, Diana, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Verlag
Piscataway, NJ : IEEE
Quelle
International Conference on Omni-Layer Intelligent Systems (COINS), 31 August-2 September 2020, Barcelona, Spain, S. 1 - 7
ISBN
978-1-7281-6371-0
DOI
https://doi.org/10.1109/COINS49042.2020.9191672

Deep Learning Utilization In Beamforming Enhancement for Medical Ultrasound

Autor(en)
Fouad, Mariam, Metwally, Yousef, Schmitz, Georg, Hübner, Michael, Abd El Ghany, Mohamed A.
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Verlag
IEEE
Quelle
2020 IEEE 44th Annual Computers, Software, and Applications Conference (COMPSAC), 13-17 July 2020, Madrid, Spain, S. 717 - 722
ISBN
978-1-7281-7303-0
DOI
https://doi.org/10.1109/COMPSAC48688.2020.0-175

Multi-Target Adaptive Reconfigurable Acceleration for Low-Power IoT Processing

Autor(en)
Brandalero, Marcelo, Carro, Luigi, Beck, Antonio Carlos Schneider, Shafique, Muhammad
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
IEEE Transactions on Computers
DOI
https://doi.org/10.1109/TC.2020.2984736

A Modular Software Library for Effective High-Level Synthesis of Convolutional Neural Networks

Autor(en)
Hernandez, Hector Gerardo Muñoz, Mahmood, Safdar, Brandalero, Marcelo, Hübner, Michael
Publikationsart
Konferenzveröffentlichung nicht referiert
Erscheinungsjahr
2020
Verlag
Cham : Springer
Quelle
Applied Reconfigurable Computing. Architectures, Tools, and Applications, 16th International Symposium, ARC 2020, Toledo, Spain, April 1–3, 2020, Proceedings, S. 211 - 220
ISBN
978-3-030-44533-1
978-3-030-44534-8
DOI
https://doi.org/10.1007/978-3-030-44534-8_16
Schriftenreihe(n) ; Bandnummer
Lecture Notes in Computer Science book series ; volume 12083

A Survey on Machine Learning Approaches to ECG Processing

Autor(en)
Hoffmann, Javier Eduardo, Mahmood, Safdar, Suawa Fogou, Priscile, George, Nevin, Raha, Solaiman, Safi, Sabur, Schmailzl, Kurt JG, Brandalero, Marcelo, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
2020 Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020, Poznan, Poland
ISBN
978-83-62065-39-4
978-83-62065-37-0
978-1-7281-7746-5
URL
https://ieeexplore.ieee.org/document/9241283

Enabling Domain-Specific Architectures with an Open-Source Soft-Core GPGPU

Autor(en)
Brandalero, Marcelo, Hernandez, Hector Gerardo Muñoz, Veleski, Mitko, Al Kadi, Muhammed, Rech, Paolo, Hübner, Michael
Publikationsart
Konferenzveröffentlichung nicht referiert
Erscheinungsjahr
2020
Quelle
2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), New Orleans, LA, 18-22 May 2020
ISBN
978-1-7281-7445-7
978-1-7281-7457-0
DOI
https://doi.org/10.1109/IPDPSW50202.2020.00015

A Management Technique for Concurrent Access to a Reconfigurable Accelerator

Autor(en)
Silva, Raul, Korol, Guilherme dos Santos, Jordan, Michael Guilherme, Brandalero, Marcelo, Hübner, Michael, Pereira, Monica, Rutzig, Mateus Beck, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Quelle
33rd Symposium on Integrated Circuits and Systems Design (SBCCI)
ISBN
978-1-7281-9625-1
978-1-7281-9626-8
DOI
https://doi.org/10.1109/SBCCI50935.2020.9189927

Investigating Floating-Point Implementations in a Softcore GPU under Radiation-Induced Faults

Autor(en)
Gonçalves, Marcio M., Benevenuti, Fabio, Munoz-Hernandez, Hector Gerardo, Brandalero, Marcelo, Hübner, Michael, Kastensmidt, Fernanda, Azambuja, Jose Rodrigo
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2020
Verlag
Piscataway, NJ : IEEE
Quelle
27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), virtual conference, November 23-25, 2020, S. 1 - 4
ISBN
978-1-7281-6044-3
978-1-7281-6045-0
DOI
https://doi.org/10.1109/ICECS49266.2020.9294939

Reactive current compensation method for PFC applications based on SOGI-PLL

Autor(en)
Korte, Jan, Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2019
Quelle
VLSI Circuits and Systems Letter
Band/Jahrgang
5
Ausgabe/Heft
2

Configurable Fault Tolerant Circuits and System Level Integration for Self-Awareness

Autor(en)
Segabinazzi Ferreira, Raphael, George, Nevin, Chen, Junchao, Hübner, Michael, Krstic, Milos, Nolte, Jörg, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Verlag
Kallithea, Chalkidiki (Greece) : SEA-Publications
Quelle
Proceedings of the Work in Progress Session held in connection with SEAA 2019, the 45th EUROMICRO Conference on Software Engineering and Advanced Applications and DSD 2019, the 22nd EUROMICRO Conference on Digital System Design
ISBN
978-3-902457-54-7
DOI
https://doi.org/10.26127/BTUOpen-5050

RESCUE: Interdependent Challenges of Reliability, Security and Quality in Nanoelectronic Systems

Autor(en)
Jenihhin, Maksim, Hamdioui, Said, Sonza-Reorda, Matteo, Krstic, Milos, Langendörfer, Peter, Sauer, Christian, Klotz, Anton, Hübner, Michael, Nolte, Jörg, Vierhaus, Heinrich Theodor, Selimis, Georgions, Alexandrescu, Dan, Taouil, Mottaqiallah, Schrijen, Geert-Jan, Sterpone, Luca, Squillero, Giovanni, Dyka, Zoya, Raik, Jaan
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2019
Quelle
ArXiv.org
URL
https://arxiv.org/abs/1912.01561

Low Leakage Highly Stable Robust Ultra Low Power 8T SRAM Ce

Autor(en)
Gupta, Neha, Gupta, Tanisha, Khan, Sajid, Vishwakarma, Abhinav, Vishvakarma, Santosh Kumar
Publikationsart
Konferenzveröffentlichung nicht referiert
Erscheinungsjahr
2019
Verlag
Singapore : Springer
Quelle
VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4–6, 2019, Revised Selected Papers, S. 643 - 654
ISBN
978-981-32-9766-1
978-981-32-9767-8
DOI
https://doi.org/10.1007/978-981-32-9767-8_53

Dual-Edge Triggered Light Weight Implementation of AES for IoT Security

Autor(en)
Khan, Sajid, Gupta, Neha, Vishwakarma, Abhinav, Chouhan, Shailesh Singh, Pandey, Jai Gopal, Vishvakarma, Santosh Kumar
Publikationsart
Konferenzveröffentlichung nicht referiert
Erscheinungsjahr
2019
Verlag
Singapore : Springer
Quelle
VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4–6, 2019, Revised Selected Papers, S. 298 - 307
ISBN
978-981-32-9766-1
978-981-32-9767-8
DOI
https://doi.org/10.1007/978-981-32-9767-8_26
Schriftenreihe(n) ; Bandnummer
Communications in Computer and Information Science book series ; volume 1066

Compact Spiking Neural Network System with SiGe based Cylindrical Tunneling Transistor for Low Power Applications

Autor(en)
Beohar, Ankur, Gopal, Raut, Rajput, Gunjan, Vishwakarma, Abhinav, Ambika Prasad, Shah, Bhupendra, Singh Renewa, Vishvakarma, Santosh Kumar
Publikationsart
Konferenzveröffentlichung nicht referiert
Erscheinungsjahr
2019
Verlag
Singapore : Springer
Quelle
VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4–6, 2019, Revised Selected Papers, S. 655 - 663
ISBN
978-981-32-9766-1
978-981-32-9767-8
DOI
https://doi.org/10.1007/978-981-32-9767-8_54
Schriftenreihe(n) ; Bandnummer
Communications in Computer and Information Science book series ; volume 1066

Predicting performance in multi-core systems with shared reconfigurable accelerators

Autor(en)
Brandalero, Marcelo, Souto, Thiago Dadalt, Carro, Luigi, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Verlag
Elsevier
Quelle
Journal of Systems Architecture, S. 201 - 213
DOI
https://doi.org/10.1016/j.sysarc.2019.07.010

TransRec: Improving Adaptability in Single-ISA Heterogeneous Systems with Transparent and Reconfigurable Acceleration

Autor(en)
Brandalero, Marcelo, Shafique, Muhammad, Carro, Luigi, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), S. 582 - 585
ISBN
978-3-9819263-2-3
978-3-9819263-3-0
978-1-7281-0331-0
DOI
https://doi.org/10.23919/DATE.2019.8715121

Improving Software-based Techniques for Soft Error Mitigation in OoO Superscalar Processors

Autor(en)
Cardoso, Douglas Maciel, Tonetto, Rafael Billig, Brandalero, Marcelo, Agostini, Luciano, Nazar, Gabriel L., Azambuja, José Rodrigo, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), S. 201 - 204
ISBN
978-1-7281-0996-1
978-1-7281-0997-8
DOI
https://doi.org/10.1109/ICECS46596.2019.8964749

Power-Aware Phase Oriented Reconfigurable Architecture

Autor(en)
Korol, Guilherme dos Santos, Jordan, Michael Guilherme, Brandalero, Marcelo, Rutzig, Mateus Beck, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), S. 626 - 629
ISBN
978-1-7281-0996-1
978-1-7281-0997-8
DOI
https://doi.org/10.1109/ICECS46596.2019.8965011

A Runtime Power-Aware Phase Predictor for CGRAs

Autor(en)
Korol, Guilherme dos Santos, Jordan, Michael Guilherme, Silva, Raul Silveira, Pereira, Monica Magalhães, Brandalero, Marcelo, Rutzig, Mateus Beck, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
2019 International Conference on ReConFigurable Computing and FPGAs (ReConFig), S. 1 - 8
ISBN
978-1-7281-1957-1
978-1-7281-1958-8
DOI
https://doi.org/10.1109/ReConFig48160.2019.8994802

A Knapsack Methodology for Hardware-based DMR Protection against Soft Errors in Superscalar Out-of-Order Processors

Autor(en)
Tonetto, Rafael Billig, Cardoso, Douglas Maciel, Brandalero, Marcelo, Agostini, Luciano, Nazar, Gabriel L., Azambuja, José Rodrigo, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC), S. 287 - 292
ISBN
978-1-7281-3915-9
978-1-7281-3916-6
DOI
https://doi.org/10.1109/VLSI-SoC.2019.8920350

Accelerating error-tolerant applications with approximate function reuse

Autor(en)
Brandalero, Marcelo, Silveira, Leonardo Almeida da, Souza, Jeckson Dellagostin de, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
Science of Computer Programming, S. 54 - 67
DOI
https://doi.org/10.1016/j.scico.2017.05.003

A Tool to Ease De­sign-Space-Ex­plo­ra­ti­on Using the Ten­si­li­ca LX7 ASIP

Autor(en)
Fricke, Florian, Hoffmann, Javier Eduardo, Mahmood, Safdar, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Freie Schlagworte
ASIP, LX7, Ten­si­li­ca
Quelle
CDN­Li­ve EMEA 2019
URL
https://www.esit.ruhr-uni-bochum.de/forschung/veroeffentlichungen/tool-ease-design-space-exploration-using-tensilica/

IP Core Identification in FPGA Configuration Files using Machine Learning Techniques

Autor(en)
Mahmood, Safdar, Rettkowski, Jens, Shallufa, Arij, Hübner, Michael, Göhringer, Diana
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
IEEE 9th International Conference on Consumer Electronics, Berlin, 8-11 Sept. 2019
ISBN
978-1-7281-2745-3
978-1-7281-2775-0
DOI
https://doi.org/10.1109/ICCE-Berlin47944.2019.8966236

Inspection of Partial Bitstreams for FPGAs Using Artificial Neural Networks

Autor(en)
Rettkowski, Jens, Mahmood, Safdar, Shallufa, Arij, Hübner, Michael, Göhringer, Diana
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)
ISBN
978-1-7281-3510-6
978-1-7281-3511-3
DOI
https://doi.org/10.1109/IPDPSW.2019.00023

Automatic Tool-Flow for Mapping Applications to an Application-Specific CGRA Architecture

Autor(en)
Fricke, Florian, Werner, André, Shahin, Keyvan, Werner, Florian, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
IEEE International Symposium on Parallel and Distributed Processing, Workshops and Phd Forum (IPDPSW), S. 147 - 154
ISBN
978-1-7281-3510-6
978-1-7281-3511-3
DOI
https://doi.org/10.1109/IPDPSW.2019.00033

A Particle Detector Based on Pulse Stretching Inverter Chain

Autor(en)
Andjelkovic, Marko, Veleski, Mitko, Chen, Junchao, Simevski, Aleksandar, Krstic, Milos
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
26th IEEE International Conference on Electronics, Circuits and Systems (ICECS 2019), Genova
ISBN
978-1-7281-0996-1
978-1-7281-0997-8
DOI
https://doi.org/10.1109/ICECS46596.2019.8964644

From Fault Tolerance to Error Resilience: Co-Designing for Self-Awareness

Autor(en)
George, Nevin, Hübner, Michael, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
Proc. PhD Forum of the 9th BELAS Summer School, IHP, Frankfurt/Oder
URL
https://www.google.de/url?sa=t&rct=j&q=&esrc=s&source=web&cd=2&cad=rja&uact=8&ved=2ahUKEwjs5unQ-MvoAhWSUBUIHWVeBFIQFjABegQIAxAB&url=https%3A%2F%2Fwww.ihp-microelectronics.com%2Fdownloads%2F3616%2FBELAS19_paper_17.pdf&usg=AOvVaw2Na-b6H-YWFoZRCLRWzzYs

A Fire-Detection and Control System in Automobiles: Implementing a Design That Uses Fuzzy Logic to Anticipate and Respond

Autor(en)
Sowah, Robert A., Ampadu, Kwame Owusu, Ofoli, Abdul R., Koumadi, Koudjo, Mills, Godfrey A., Nortey, Joseph
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
IEEE Industry Applications Magazine
DOI
https://doi.org/10.1109/MIAS.2018.2875189

A Programmable Error-Predictive In-Situ Delay Monitor for Adaptive Low Power and Error-Resilient Complex System-On-Chip

Autor(en)
Veleski, Mitko, Kraemer, Rolf, Krstic, Milos
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
9th Biannual European - Latin American Summer School on Design, Test and Reliability (BELAS 2019), Frankfurt/Oder
URL
https://www.ihp-microelectronics.com/en/research/wireless-systems-and-applications/publications/publications-2019.html

Programmable In-Situ Delay Monitor for Energy-Efficient and Resilient Complex SoC

Autor(en)
Veleski, Mitko, Kraemer, Rolf, Krstic, Milos
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
Proc. 24th IEEE European Test Symposium: PhD Forum (ETS 2019)
URL
https://www.ihp-microelectronics.com/en/research/wireless-systems-and-applications/publications/publications-2019.html

Automatic Toolflow for VCGRA Generation to Enable CGRA Evaluation for Arithmetic Algorithms

Autor(en)
Werner, André, Fricke, Florian, Shahin, Keyvan, Werner, Florian, Hübner, Michael
Herausgeber
Hochberger, Christian, Nelson, Brent, Koch, Andreas, Woods, Roger, Diniz, Pedro C.
Publikationsart
Wissenschaftlicher Zeitschriftenartikel nicht referiert
Erscheinungsjahr
2019
Verlag
Cham : Springer
Quelle
Applied Reconfigurable Computing, 15th International Symposium, ARC 2019, Darmstadt, Germany, April 9–11, 2019, Proceedings, S. 277 - 291
ISSN
0302-9743
1611-3349
ISBN
978-3-030-17226-8
978-3-030-17227-5
DOI
https://doi.org/0.1007/978-3-030-17227-5_20
Schriftenreihe(n) ; Bandnummer
Lecture Notes in Computer Science book series ; volume 11444
Theoretical Computer Science and General Issues book sub series ; volume 11444

BRAM-based function reuse for multi-core architectures in FPGAs

Autor(en)
Exenberger Becker, Pedro H., Sartor, Anderson L., Brandalero, Marcelo, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2019
Quelle
Microprocessors and Microsystems, S. 237 - 248
DOI
https://doi.org/10.1016/j.micpro.2018.09.007