Publikationen

General-Purpose Computing with Soft GPUs on FPGAs

Autor(en)
Al Kadi, Muhammed Soubhi, Janßen, Benedikt, Mori Alves da Silva, Jones Yudi, Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2018
Quelle
ACM Transactions on Reconfigurable Technology and Systems
Band/Jahrgang
11
Ausgabe/Heft
1
ISSN
1936-7406
DOI
https://doi.org/10.1145/3173548

A Survey on Formal Verification Techniques for Safety-Critical Systems-on-Chip

Autor(en)
Grimm, Tomas, Hübner, Michael, Lettnin, Djones
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2018
Quelle
Electronics
Band/Jahrgang
7
Ausgabe/Heft
6
ISSN
2079-9292
DOI
https://doi.org/10.3390/electronics7060081

Optimal Dependability and Fine Granular Error Resilience Methodology for Reconfigurable Systems

Autor(en)
Hosseinzadeh, Farnoosh, Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Verlag
Piscataway, NJ : IEEE
Quelle
21st Euromicro Conference on Digital System Design, DSD 2018, 29-31 August 2018, Prague, Czech Republic, proceedings, S. 206 - 213
ISBN
978-1-5386-7377-5
DOI
https://doi.org/10.1109/DSD.2018.00048

RESCUE: Cross-Sectoral PhD Training Concept for Interdependent Reliability, Security and Quality

Autor(en)
Vierhaus, Heinrich Theodor, Jenihhin, Maksim, Sonza-Reorda, Matteo
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Verlag
Piscataway, NJ : IEEE
Quelle
2018 Proceedings of the 12th European Workshop on Microelectronics Education (EWME), Braunschweig, 24-26 Sept. 2018, S. 45 - 50
ISBN
978-1-5386-9114-4
DOI
https://doi.org/10.1109/EWME.2018.8629465

A Microwave Triple-Band Bandpass Filter using parallel Stepped Impedance Resonator

Autor(en)
Vishwakarma, Abhinav, Tripathi, G. S.
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Quelle
International Journal of creative Research, S. 1217 - 1219
URL
http://ijcrt.org/viewfull.php?&p_id=IJCRT1802595

Employing classification-based algorithms for general-purpose approximate computing

Autor(en)
Oliveira, Geraldo Francisco, Gonçalves, Larissa Rozales, Brandalero, Marcelo, Beck, Antonio Carlos Schneider, Carro, Luigi
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Quelle
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), S. 701 - 706
ISBN
978-1-5386-4114-9
978-1-5386-4115-6
DOI
https://doi.org/10.1109/DAC.2018.8465822

Approximate on-the-fly coarse-grained reconfigurable acceleration for general-purpose applications

Autor(en)
Brandalero, Marcelo, Carro, Luigi, Beck, Antonio Carlos Schneider, Shafique, Muhammad
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Quelle
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), S. 1601 - 1606
ISBN
978-1-5386-4114-9
978-1-5386-4115-6
DOI
https://doi.org/10.1109/DAC.2018.8465930

Efficient Local Memory Support for Approximate Computing

Autor(en)
Brandalero, Marcelo, Malfatti, Guilherme Meneguzzi, Oliveira, Geraldo Francisco, Silveira, Leonardo Almeida da, Gonçalves, Larissa Rozales, Da Silva, Bruno Castro, Carro, Luigi, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Quelle
2018 VIII Brazilian Symposium on Computing Systems Engineering (SBESC), S. 122 - 129
ISBN
978-1-7281-0240-5
978-1-7281-0241-2
DOI
https://doi.org/10.1109/SBESC.2018.00026

Towards a Safety and Energy Aware protocol for Wireless Communication

Autor(en)
Hoffmann, Javier Eduardo, Kuschnerus, Dirk, Jones, Trevor, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Verlag
IEEE : Piscataway, NJ
Quelle
13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Lille
ISBN
978-1-5386-7957-9
978-1-5386-7958-6
DOI
https://doi.org/10.1109/ReCoSoC.2018.8449380

AIDS: An ICT model for integrating teaching, learning and research in Technical University Education in Ghana

Autor(en)
Asabere, Nana Yaw, Togo, Gilbert, Acakpovi, Amevi, Torgby, Wisdom Kwawu, Ampadu, Kwame Owusu
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Quelle
The International Journal of Education and Development using Information and Communication Technology, S. 162 - 183
URL
http://ijedict.dec.uwi.edu/search.php?op=authorDetail&id=3554

The Effects of Voltage Scaling on Reliability and Power Consumption in Multiprocessor Systems

Autor(en)
Veleski, Mitko, Kraemer, Rolf, Krstic, Milos
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Quelle
Proc. 30. GI/GMM/ITG-Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2018), 38 (2018)
URL
https://www.ihp-microelectronics.com/en/research/wireless-systems-and-applications/publications/publications-2018.html

Design of an efficient Communication Architecture for Cyber-Physical Production Systems

Autor(en)
Kästner, Florian, Kuschnerus, Dirk, Spiegel, Christoph, Janßen, Benedikt, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2018
Verlag
Piscataway, NJ : IEEE
Quelle
14th International Conference on Automation Science and Engineering (CASE) Munich, Germany, August 20-24, 2018, S. 829 - 835
ISBN
978-1-5386-3593-3
URL
https://ieeexplore.ieee.org/document/8560563
DOI
https://doi.org/10.1109/COASE.2018.8560563

Hardware/Software Codesign for Convolutional Neural Networks exploiting Dynamic Partial Reconfiguration on PYNQ

Autor(en)
Kästner, Florian, Janßen, Benedikt, Kautz, Frederik, Hübner, Michael, Corradi, Giulio
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2018
Verlag
Piscataway, NJ : IEEE
Quelle
32nd International Parallel and Distributed Processing Symposium workshops, IPDPSW 2018, proceedings, 21-25 May 2018, Vancouver, British Columbia, Canada, S. 154 - 161
ISBN
978-1-5386-5555-9
URL
https://ieeexplore.ieee.org/abstract/document/8425398

A Dynamic Partial Reconfigurable Overlay Framework for Python

Autor(en)
Janßen, Benedikt, Kästner, Florian, Wingender, Tim, Hübner, Michael
Herausgeber
Voros, Nikolaos, Hübner, Michael, Keramidas, Georgios, Göhringer, Diana, Antonopoulos, Christos, Diniz, Pedro C.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2018
Verlag
Cham : Springer
Quelle
Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018, S. 331 - 342
ISBN
978-3-319-78889-0
978-3-319-78890-6
Schriftenreihe(n) ; Bandnummer
Lecture Notes in Computer Science ; 10824

Exploring Deep Neural Networks for Regression Analysis

Autor(en)
Janßen, Benedikt, Kästner, Florian, Kautz, Frederik, Hübner, Michael
Herausgeber
Hübner, Michael, Rückemann, Claus-Peter
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2018
Verlag
[Wilmington] : IARIA
Quelle
PESARO 2018, the Eighth International Conference on Performance, Safety and Robustness in Complex Systems and Applications, April 22-26, 2018, Athens, Greece /
ISBN
978-1-61208-628-6

Runtime Adaptive Cache for the LEON3 Processor

Autor(en)
Guzman, Osvaldo Navarro, Hübner, Michael
Herausgeber
Voros, Nikolaos, Hübner, Michael, Keramidas, Georgios, Göhringer, Diana, Antonopoulos, Christos, Diniz, Pedro C.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2018
Verlag
Cham : Springer
Quelle
Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018, S. 343 - 354

CGRA Tool Flow for Fast Run-Time Reconfiguration

Autor(en)
Fricke, Florian, Werner, André, Shahin, Keyvan, Hübner, Michael
Herausgeber
Voros, Nikolaos, Hübner, Michael, Keramidas, Georgios, Göhringer, Diana, Antonopoulos, Christos, Diniz, Pedro C.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2018
Verlag
Cham : Springer
Quelle
Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018, S. 661 - 672
ISBN
978-3-319-78889-0
978-3-319-78890-6
DOI
https://doi.org/10.1007/978-3-319-78890-6_53

A Low-Cost BRAM-Based Function Reuse for Configurable Soft-Core Processors in FPGAs

Autor(en)
Exenberger Becker, Pedro H., Sartor, Anderson L., Brandalero, Marcelo, Jost, Tiago Trevisan, Wong, Stephan, Carro, Luigi, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Verlag
Cham : Springer
Quelle
Applied Reconfigurable Computing. Architectures, Tools, and Applications14th International Symposium, ARC 2018, Santorini, Greece, May 2-4, 2018, Proceedings, S. 499 - 510
ISBN
978-3-319-78889-0
978-3-319-78890-6
DOI
https://doi.org/10.1007/978-3-319-78890-6_40

An Application Specific Framework for HLS-based FPGA Design of Articulated Robot Inverse” Kinematics

Autor(en)
Mahmood, Safdar, Shydlouski, Pavel, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2018
Quelle
International Conference on ReConFigurable Computing and FPGAs (ReConFig)
ISBN
978-1-7281-1968-7
978-1-7281-1969-4
DOI
https://doi.org/10.1109/RECONFIG.2018.8641691

A Mechanism for energy-efficient reuse of decoding and scheduling of x86 instruction streams

Autor(en)
Brandalero, Marcelo, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2017
Quelle
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, S. 1468 - 1473
ISBN
978-3-9815370-8-6
978-3-9815370-9-3
978-1-5090-5826-6
DOI
https://doi.org/10.23919/DATE.2017.7927223

Analysis of Hardware Implementations to Accelerate Convolutional and Recurrent Neuronal Net­works

Autor(en)
Kästner, Florian, Guzman, Osvaldo Navarro, Jan­ßen, Be­ne­dikt, Hoffmann, Javier Eduardo, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2017
Verlag
IARIA
Quelle
In­ter­na­tio­nal Jour­nal on Ad­van­ces in Soft­ware, S. 308 - 323
URL
http://www.iariajournals.org/software/soft_v10_n34_2017_paged.pdf

A survey on CNN and RNN implementations

Autor(en)
Hoffmann, Javier Eduardo, Guzman, Osvaldo Navarro, Kästner, Florian, Jan­ßen, Be­ne­dikt, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2017
Verlag
Wilmington, DE, USA : IARIA
Quelle
PE­SA­RO 2017, The Seventh In­ter­na­tio­nal Con­fe­rence on Per­for­mance, Sa­fe­ty and Ro­bust­ness in Com­plex Sys­tems and Ap­p­li­ca­ti­ons, S. 33 - 39
ISBN
978-1-61208-549-4

A machine learning methodology for cache recommendation

Autor(en)
Guzman, Osvaldo Navarro, Mori, Jones, Hoffmann, Javier Eduardo, Stuckmann, Fabian, Hübner, Michael
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2017
Verlag
Cham : Springer
Quelle
Applied Reconfigurable Computing : 13th International Symposium, ARC 2017, Delft, The Netherlands, April 3-7, 2017, Proceedings, S. 311 - 322
ISBN
978-3-319-56258-2
978-3-319-56257-5
DOI
https://doi.org/10.1007/978-3-319-56258-2_27
Schriftenreihe(n) ; Bandnummer
Lecture Notes in Computer Science book series ; volume 10216

A Microwave Dual-Band Bandpass Filter for L Band Application

Autor(en)
Vishwakarma, Abhinav, Tripathi, G. S.
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2017
Quelle
International Journal for Scientific Research & Development, S. 379 - 380
URL
http://ijsrd.com/Article.php?manuscript=IJSRDV5I20487

From online fault detection to fault management in Network-on-Chips: A ground-up approach

Autor(en)
Azad, Siavoosh Payandeh, Niazmand, Behrad, Janson, Karl, George, Nevin, Oyeniran, Stephen Adeboye, Putkaradze, Tsotne, Kaur, Apneet, Raik, Jaan, Jervan, Gert, Ubar, Raimund, Hollstein, Thomas
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2017
Quelle
IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Dresden, Germany, 19-21 April 2017
ISBN
978-1-5386-0472-4
978-1-5386-0473-1
DOI
https://doi.org/10.1109/DDECS.2017.7934565

An Overview of Cross-Layer Resilience Design Methods

Autor(en)
Veleski, Mitko, Kraemer, Rolf, Krstic, Milos
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2017
Quelle
RESCUE 2017, Limassol
URL
https://www.researchgate.net/publication/349116576_An_Overview_of_Cross-Layer_Resilience_Design_Methods

Automatic Generation of RTL Connectivity Checkers for Automotive Gateways from SystemC TLM Models

Autor(en)
Grimm, Tomas, Lettnin, Djones, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE Nordic Circuits and Systems Conference, 1-2 November 2016, Copenhagen, Denmark
ISBN
978-1-5090-1095-0
DOI
https://doi.org/10.1109/NORCHIP.2016.7792922

Hoch-zuverlässige schnelle Encoder / Decoder für drahtlose Übertragungssysteme

Autor(en)
Dicorato, Davide, Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Quelle
Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2017), 5.-7. März 2017, Lübeck
URL
https://www.researchgate.net/publication/317278669

Fast Power Overhead Prediction for Hardware Redundancy-based Fault Tolerance

Autor(en)
Scharoba, Stefan, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), 3-5 July 2017, Hotel Makedonia Palace, Thessaloniki, Greece, S. 265 - 270
ISBN
978-1-5386-0351-2
978-1-5386-0352-9

Extended Hsiao-Code Error Correction Considering Double and Triple Bit Errors

Autor(en)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Quelle
RESCUE 2017, Workshop on Reliability, Security and Quality, ETS17 Fringe Workshop, At Limassol, Cyprus
URL
https://www.researchgate.net/publication/317265493

On Comparison of Robust Configurable FPGA Encoders for Dependable Industrial Communication Systems

Autor(en)
Pfeifer, Petr, Hosseinzadeh, Farnoosh, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), 3-5 July 2017, Thessaloniki, Greece, S. 199 - 200
ISBN
978-1-5386-0352-9

Forward Error Correction in Wireless Communication Systems for Industrial Applications

Autor(en)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Piscataway, NJ : IEEE
Quelle
SPA 2017, Signal Processing: Algorithms, Architectures, Arrangements, and Applications, conference proceedings, Poznan, 20-22nd September 2017, S. 14
ISBN
978-83-62065-30-1

Forward Error Correction in Industrial Wireless Communication

Autor(en)
Pfeifer, Petr, Gleichner, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Berlin : VDE Verlag
Quelle
Zuverlässigkeit und Entwurf, 9. ITG/GMM/GI-Fachtagung vom 18.-20. September 2017 in Cottbus
ISBN
978-3-8007-4444-2
Schriftenreihe(n) ; Bandnummer
ITG-Fachbericht ; 274

On Implementation and Usage of Muller C-element in FPGA-based Dependable Systems

Autor(en)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Piscataway, NJ : IEEE
Quelle
22nd IEEE International Conference on Applied Electronics, Pilsen, 5-6 September 2017, S. 163 - 166
ISBN
978-80-261-0641-8
978-80-261-0642-5

Fault Detection and Self Repair in Hsiao-Code FEC Circuits

Autor(en)
Dicorato, Davide, Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Piscataway, NJ : IEEE
Quelle
2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 19-21 April 2017, Dresden, Germany
ISBN
978-1-5386-0471-7
978-1-5386-0472-4

On Comparison of Configurable Encoders in Xilinx and Altera FPGAs

Autor(en)
Pfeifer, Petr, Hosseinzadeh, Farnoosh, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Piscataway, NJ : IEEE
Quelle
22nd 2017 International Conference on Applied Electronics, Pilsen, 5-6 September 2017, S. 159 - 162
ISBN
978-80-261-0642-5
978-80-261-0641-8
DOI
https://doi.org/10.23919/AE.2017.8053605

Tool flow for automatic generation of architectures and test-cases to enable the evaluati-on of CGRAs in the context of HPC applications

Autor(en)
Fricke, Florian, Werner, André, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2017
Verlag
Piscataway, NJ : IEEE
Quelle
Conference on Design and Architectures for Signal and Image Processing (DASIP), 27-29 Sept. 2017, Dresden, Germany
ISBN
978-1-5386-3534-6
URL
https://ieeexplore.ieee.org/document/8122124
DOI
https://doi.org/10.1109/DASIP.2017.8122124

Design and Implementation of Dual-Band BandpassFilter Using Tappered E Shaped Dual Mode Open Loop Resonator

Autor(en)
Vishwakarma, Abhinav, Tripathi, G. S.
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2017
Quelle
Global Research and Development Journal for Engineering, S. 183 - 186
URL
http://www.grdjournals.com/uploads/article/GRDJE/V02/I06/0046/GRDJEV02I060046.pdf

Non-Cyclic Design Space Exploration for ASIPS - Compiler-Centered Microprocessor Design (CoMet)

Autor(en)
Urban, Roberto, Vierhaus, Heinrich Theodor, Schölzel, Mario, Altmann, Enrico, Seelig, Horst
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2016
Quelle
Journal of Circuits, Systems and Computers
Band/Jahrgang
25
Ausgabe/Heft
3
ISSN
0218-1266
1793-6454
DOI
https://doi.org/10.1142/S0218126616400120

FPGAs getting teeny! What can we expect from them?

Autor(en)
Pfeifer, Petr
Herausgeber
Gleichner, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Stuttgart : Fraunhofer Verlag
Quelle
DASS 2016, Dresdner Arbeitstagung Schaltungs- und Systementwurf

Information Fusion of Conflicting Input Data

Autor(en)
Mönks, Uwe, Dörksen, Helene, Lohweg, Volker, Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2016
Quelle
Sensors
Band/Jahrgang
16
Ausgabe/Heft
11
ISSN
1424-8220

A resampling method for parallel particle filter architectures

Autor(en)
Schwiegelshohn, Fynn, Ossovski, Eugen, Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2016
Quelle
Microprocessors & Microsystems, S. 314 - 320
Band/Jahrgang
47, B
ISSN
0141-9331
DOI
https://doi.org/10.1016/j.micpro.2016.07.017

Tomografische Verfahren für intelligente Sensoren in der Prozessautomatisierung

Autor(en)
Musch, Thomas, Hübner, Michael, Gebhardt, Patrick, Abrolat, Jan Christoph, Gevers, Martin, Vogt, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2016
Quelle
atp plus : das Magazin der Automatisierungstechnik : Sonderausgabe, S. 34 - 41
Band/Jahrgang
1
ISSN
2510-3911

The Potential of Accelerating Image-Processing Applications by Using Approximate Function Reuse

Autor(en)
Silveira, Leonardo Almeida da, Brandalero, Marcelo, Souza, Jeckson Dellagostin de, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2016
Quelle
2016 VI Brazilian Symposium on Computing Systems Engineering (SBESC), S. 122 - 127
ISBN
978-1-5090-2653-1
978-1-5090-2654-8
DOI
https://doi.org/10.1109/SBESC.2016.026

A multiple-ISA reconfigurable architecture

Autor(en)
Capella, Fernanda M., Brandalero, Marcelo, Carro, Luigi, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2016
Quelle
Special Issue on “Selected papers from the 2013 Brazilian Symposium on Computing Systems Engineering (SBESC 2013)”, S. 329 - 344

Design and implementation of a fire detection and control system for automobiles using fuzzy logic

Autor(en)
Sowah, Robert A., Ampadu, Kwame Owusu, Ofoli, Abdul R., Koumadi, Koudjo, Mills, Godfrey A., Nortey, Joseph
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2016
Quelle
IEEE Industry Application Society 52nd Annual Meeting : October 2-6, 2016, Portland, OR : conference record
ISBN
978-1-4799-8397-1
978-1-4799-8396-4
DOI
https://doi.org/10.1109/IAS.2016.7731880

Potential analysis of a superscalar core employing a reconfigurable array for improving instruction-level parallelism

Autor(en)
Brandalero, Marcelo, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2016
Quelle
Special Issue on “SBESC Embedded Systems 2014”, S. 155 - 169
DOI
https://doi.org/10.1007/s10617-016-9174-4

Enabling indoor object localization through Bluetooth beacons on the RADIO robot platform

Autor(en)
Schwiegelshohn, Fynn, Wehner, Philipp, Werner, Florian, Gohringer, Diana, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
Proceedings, 2016 International Conference on Embedded Computer Systems, Architectures, Modeling and Simulation (SAMOS XVI), July 17-21, 2016, Samos, Greece, S. 328 - 333
ISBN
978-1-5090-3076-7
DOI
https://doi.org/10.1109/SAMOS.2016.7818366

AutoReloc: Automated Design Flow for Bitstream Relocation on Xilinx FPGAs

Autor(en)
Lalevée, André, Horrein, Pierre-Henri, Arzel, Matthieu, Hübner, Michael, Vaton, Sandrine
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
DSD 2016, proceedings, 19th Euromicro Conference in Digital System Design, 31 August-2 September 2016, Limassol, Cyprus, S. 14 - 21
ISBN
978-1-5090-2817-7
DOI
https://doi.org/10.1109/DSD.2016.92

Computation and Communication Challenges to Deploy Robots in Assisted Living Environments

Autor(en)
Keramidas, Georgios, Antonopoulos, Christos, Voros, Nikolaos, Schwiegelshohn, Fynn, Wehner, Philipp, Rettkowski, Jens, Göhringer, Diana, Hübner, Michael, Konstantopoulos, Stasinos, Giannakopoulos, Theodore, Karkaletsis, Vangelis, Mariatos, Vaggelis
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
Design, Automation & Test in Europe Conference & Exhibition (DATE), 14-18 March 2016 Dresden, Germany, S. 888 - 893
ISBN
978-3-9815370-7-9
URL
https://ieeexplore.ieee.org/document/7459434

A Design Methodology for the Next Generation Real-Time Vision Processors

Autor(en)
Mori Alves da Silva, Jones Yudi, Werner, André, Shallufa, Arij, Fricke, Florian, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Cham : Springer
Quelle
Applied reconfigurable computing, 12th international symposium, ARC 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, S. 14 - 25
ISBN
978-3-319-30480-9
978-3-319-30481-6
Schriftenreihe(n) ; Bandnummer
Lecture notes in computer science ; 9625

A rapid prototyping method to reduce the design time in commercial high-level synthesis tools

Autor(en)
Mori Alves da Silva, Jones Yudi, Werner, André, Fricke, Florian, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA, S. 253 - 258
ISBN
978-1-5090-3682-0

Efficient Camera Input System and Memory Partition for a Vision Soft-Processor

Autor(en)
Mori Alves da Silva, Jones Yudi, Kautz, Frederik, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Cham : Springer
Quelle
Applied reconfigurable computing, 12th international symposium, ARC 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, S. 328 - 333
ISBN
978-3-319-30480-9
978-3-319-30481-6
Schriftenreihe(n) ; Bandnummer
Lecture notes in computer science ; 9625

Enabling Dynamic Reconfiguration of Numerical Methods for the Robotic Motion Control Task

Autor(en)
Schwiegelshohn, Fynn, Kästner, Florian, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA, S. 283 - 288
ISBN
978-1-5090-3682-0

Redesign of an Educational Robot Platform Using Web-based Programming

Autor(en)
Fricke, Florian, Werner, André, Janßen, Benedikt, Hübner, Michael, Ribbe, Clemens, Cengizhan, Inac
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
New York, NY : ACM
Quelle
Proceedings of the 2016 Workshop on Embedded and Cyber-Physical Systems Education, Pittsburgh, PA, USA - October 01-07, 2016
ISBN
978-1-4503-4657-3
DOI
https://doi.org/10.1145/3005329.3005332

FPGA design of numerical methods for the robotic motion control task exploiting high-level synthesis

Autor(en)
Schwiegelshohn, Fynn, Kästner, Florian, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE International Conference on the Science of Electrical Engineering (ICSEE), 16-18 Nov. 2016, Eilat, Israel
ISBN
978-1-5090-2152-9
URL
https://ieeexplore.ieee.org/document/7806074

A Hardware/Software Co-Design Approach for Control Applications with Static Real-Time Reallocation

Autor(en)
Janßen, Benedikt, Naserddin, Moataz, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA
ISBN
978-1-5090-3682-0

Development of Advanced Driver Assistance Systems using LabVIEW and a Car Simulator

Autor(en)
Janßen, Benedikt, Wehner, Philipp, Göhringer, Diana, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
New York, NY : ACM
Quelle
Proceedings of the 2016 Workshop on Embedded and Cyber-Physical Systems Education, Pittsburgh, PA, USA - October 01-07, 2016
ISBN
978-1-4503-4657-3
DOI
https://doi.org/10.1145/3005329.3005330

A Dynamic Cache Reconfiguration Platform for Soft Real-Time Systems

Autor(en)
Navarro, Osvaldo, Leiding, Tim, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE International Conference on Electronics, Circuits and Systems (ICECS), 11-14 Dec. 2016, Monte Carlo, Monaco, S. 388 - 391
ISBN
978-1-5090-6113-6
DOI
https://doi.org/10.1109/ICECS.2016.7841214

Multi-level parallelism analysis and system-level simulation for many-core vision processor design

Autor(en)
Mori Alves da Silva, Jones Yudi, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
5th Mediterranean Conference on Embedded Computing (MECO), Bar, Montenegro, June 12th-16th, S. 90 - 95
ISBN
978-1-5090-2222-9
URL
https://ieeexplore.ieee.org/document/7525710

EXTRA: Towards the Exploitation of eXascale Technology for Reconfigurable Architectures

Autor(en)
Stroobandt, Dirk, Al Kadi, Muhammed Soubhi, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
11th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoc), June 27-29, 2016, Tallinn, Estonia
ISBN
978-1-5090-2520-6
URL
https://ieeexplore.ieee.org/document/7533896

FGPU: An SIMT-Architecture for FPGAs

Autor(en)
Al Kadi, Muhammed Soubhi, Janßen, Benedikt, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
New York, NY : ACM, Association for Computing Machinery
Quelle
FPGA '16 Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, California, USA — February 21 - 23, 2016, S. 254 - 263
ISBN
978-1-4503-3856-1
978-1-4503-4468-5

Integer Computations with Soft GPGPU on FPGAs

Autor(en)
Al Kadi, Muhammed Soubhi, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
Proceedings of the 2016 International Conference on Field-Programmable Technology (FPT), Dec. 07-09, 2016, Xi'an China
ISBN
978-1-5090-5602-6
URL
https://ieeexplore.ieee.org/document/7929185

Test and Error Correction in a Dependable Wireless Communication System

Autor(en)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
BEC 2016, 15th Biennial Baltic Electronics Conference (BEC), Tallinn University of Technology, October 3-5, 2016 Tallinn, Estonia, S. 119 - 122
ISBN
978-1-5090-1392-0
978-1-5090-1393-7

An Interactive Design Space Exploration Tool for Dependable Integrated Circuits

Autor(en)
Scharoba, Stefan, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
DSD 2016, proceedings, 19th Euromicro Conference in Digital System Design, 31 August-2 September 2016, Limassol, Cyprus, S. 714 - 717
ISBN
978-1-5090-2817-7

Flexible Test, Error Detection and Correction in Dependable Communication Systems incl. Results on 28nm Xilinx and Altera FPGAs

Autor(en)
Pfeifer, Petr, Gleichner, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Quelle
2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Kosice, Slovakia, 2016, S. 26 - 31
ISBN
978-80-8086-256-5

ReSeCu4 AMBRAMs - Towards Increased Reliability and Hardware Security on Modern Nanoscale FPGAs

Autor(en)
Pfeifer, Petr
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 14 – 18 March 2016 ICC, Dresden, Germany

Iterative Error Correction with Double / triple Error Detection

Autor(en)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 21-23 Sept. 2016, Poznan, S. 14 - 19
ISBN
978-83-62065-25-7
978-83-62065-27-1

A New Area-efficient Reconfigurable Encoder Architecture for Flexible Error Detection and Correction in Dependable Communication Systems

Autor(en)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
BEC 2016, 15th Biennial Baltic Electronics Conference (BEC), Tallinn University of Technology, October 3-5, 2016 Tallinn, Estonia, S. 87 - 90
ISBN
978-1-5090-1392-0
978-1-5090-1393-7

A Comprehensive Software-Based Self-Test and Self-Repair Method for Statically Scheduled Superscalar Processors

Autor(en)
Schölzel, Mario, Koal, Tobias, Müller, Sebastian, Scharoba, Stefan, Röder, Stefanie, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
17th IEEE Latin-American Test Symposium - LATS 2016, S. 33 - 38
ISBN
978-1-5090-1331-9

Test of automotive embedded processors with high diagnostic resolution

Autor(en)
Gleichner, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Kosice, Slovakia, 2016, S. 1 - 6
ISBN
978-80-8086-256-5

Prozessanlagenplanung 2.0 Netzarchitektur aus Vefahrensbeschreibung ableiten

Autor(en)
Glock, Thomas, Kern, Matthias, Otten, Stefan, Sax, Eric, Hillenbrand, Martin, Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2016
Quelle
atp edition, S. 28 - 39
Band/Jahrgang
58
Ausgabe/Heft
10
ISSN
2190-4111

IDDD: An Interactive Dependability Driven Design Space Exploration

Autor(en)
Scharoba, Stefan, Lorenz, Jacob, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2016
Verlag
Piscataway, NJ : IEEE
Quelle
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 14 – 18 March 2016 ICC, Dresden, Germany
ISBN
978-3-9815370-6-2

Towards a Perspective of the Role of Mathematics in Computer Science and Engineering (CSE) Education

Autor(en)
Asabere, Nana Yaw, Acakpovi, Amevi, Torgby, Wisdom Kwawu, Mends-Brew, Edwin, Ampadu, Kwame Owusu
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2016
Verlag
London : Sysbase Solution
Quelle
International Journal of Computer Science and Telecommunications, S. 5 - 9
URL
https://www.ijcst.org/Volume7/Issue1.html

A Multi-Layer Software Based Fault-Tolerance Approach for Heterogenous Multi-Core Systems

Autor(en)
Schölzel, Mario, Koal, Tobias, Scharoba, Stefan, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Piscataway, NJ : IEEE
Quelle
16th Latin-American Test Symposium (LATS 2015), Puerto Vallarta, Mexico, 25-27 March 2015, S. 1 - 6
ISBN
978-1-4673-6710-3

Exploring diagnostic capabilities of software-based self-tests for production and in-field application

Autor(en)
Koal, Tobias, Scharoba, Stefan, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Piscataway, NJ : IEEE
Quelle
Proceedings of the 2015 IEEE International Workshop of Electronics, Control, Measurement, Signals and Their Application to Mechatronics (ECMSM), June 22-24, 2015, Liberec, Czech Republic, S. 1 - 6
ISBN
978-1-4799-6970-8
978-1-4799-6973-9

Redundancy evaluation process of processor components for permanent fault compensation

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Piscataway, NJ : IEEE
Quelle
2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2015), Montréal, Quebec, Canada, 15-18 June 2015, S. 1 - 6
ISBN
978-1-4673-7501-6
978-1-4673-7502-3

Smarte Sensoren in der Feldebene

Autor(en)
Glock, Thomas, Hillenbrand, Martin, Hübner, Michael
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2015
Quelle
atp edition, S. 32 - 42
Band/Jahrgang
57
Ausgabe/Heft
11
ISSN
2364-3137

Automating the Evaluation of Design Choices for Dependable Integrated Circuits

Autor(en)
Scharoba, Stefan, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2015
Quelle
MEDIAN Finale - Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN 2015)
URL
http://www.median-project.eu/wp-content/uploads/MEDIAN-Finale-p7.pdf

Correcting Delay Faults and Transient Faults in Pipelines

Autor(en)
Scharoba, Stefan, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2015
Quelle
8. GMM/ITG/GI-Symposium Reliability by Design, Siegen, Germany, 21-23 Sept. 2015, S. 7
ISBN
978-3-8007-4071-0

A framework to the design and programming of many-core focal-plane vision processors

Autor(en)
Mori Alves da Silva, Jones Yudi, Llanos, Carlos, Hübner, Michael
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE 13th International Conference on Embedded and Ubiquitous Computing, 21-23 Oct. 2015, Porto, Portugal, S. 193 - 198
ISBN
978-1-4673-8299-1
URL
https://ieeexplore.ieee.org/document/7363638

Erzeugung diagnostischer Testmuster unter komplexen Contraints

Autor(en)
Koal, Tobias, Eggersglüß, S., Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Reutlingen : Robert Bosch GmbH
Quelle
Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 27. GI/GMM/ITG-Workshop, 1.-3. März 2015,

Towards an Interactive Dependability-Aware Design Space Exploration

Autor(en)
Scharoba, Stefan, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Linz : Johannes Kepler Universität
Quelle
Proceedings of the work in progress session held in connection with SEAA 2015, the 41st EUROMICRO Conference on Software Engineering and Advanced Applications and DSD 2015, the 18th EUROMICRO Conference on Digital System Design, Funchal, Madeira
ISBN
978-3-902457-44-8

Combined Detection and Correction of Transient Faults and Delay Faults

Autor(en)
Scharoba, Stefan, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Berlin : VDE-Verlag
Quelle
ZuE 2015, Zuverlässigkeit und Entwurf, Beiträge der 8. GMM/ITG/GI-Fachtagung 21. – 23. September 2015 in Siegen
ISBN
978-3-8007-4071-0

Error Resilience in Digital Integrated Circuits

Autor(en)
Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Piscataway, NJ : IEEE
Quelle
Proceedings of the 2015 IEEE International Workshop of Electronics, Control, Measurement, Signals and Their Application to Mechatronics (ECMSM), June 22-24, 2015, Liberec, Czech Republic
ISBN
978-1-4799-6970-8

Test eingebetteter Prozessoren im Zielsystem mit hoher diagnostischer Auflösung

Autor(en)
Gleichner, Christian, Vierhaus, Heinrich Theodor
Herausgeber
Cunningham, Douglas W., Hofstedt, Petra, Meer, Klaus, Schmitt, Ingo
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Bonn : Gesellschaft für Informatik
Quelle
Informatik 2015, Tagung vom 28. September – 2. Oktober 2015 in Cottbus, S. 1399 - 1414
ISBN
978-3-88579-640-4
Schriftenreihe(n) ; Bandnummer
GI-Edition : Lecture Notes in Informatics ; 246

Detection and Correction of Logic Errors Using Extra Time Slots

Autor(en)
Dicorato, Davide, Vierhaus, Heinrich Theodor
Herausgeber
Cunningham, Douglas W., Hofstedt, Petra, Meer, Klaus, Schmitt, Ingo
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Bonn : Gesellschaft für Informatik
Quelle
Informatik 2015, Tagung vom 28. September – 2. Oktober 2015 in Cottbus, S. 1431 - 1444
ISBN
978-3-88579-640-4
Schriftenreihe(n) ; Bandnummer
GI-Edition : Lecture Notes in Informatics ; 246

Compiler-Centred Microprocessors Design (CoMet) - From C-Code to a VHDL Model of an ASIP

Autor(en)
Urban, Roberto, Schölzel, Mario, Vierhaus, Heinrich Theodor, Altmann, Enrico, Seelig, Horst
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2015
Verlag
Piscataway, NJ : IEEE
Quelle
18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015, 22-24 April 2015, Belgrade, Serbia, proceedings, S. 17 - 22
ISBN
978-1-4799-6779-7
978-1-4799-6780-3

Combining Correction of Delay Faults and Transient Faults

Autor(en)
Koal, Tobias, Scharoba, Stefan, Vierhaus, Heinrich Theodor
Publikationsart
Wissenschaftlicher Zeitschriftenartikel nicht referiert
Erscheinungsjahr
2015
Verlag
Piscataway, NJ : IEEE
Quelle
18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015, S. 99 - 102
ISBN
978-1-4799-6780-3

Systematic Generation of Diagnostic Software-Based Self-Test Routines for Processor Components

Autor(en)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Verlag
IEEE
Quelle
19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn
ISBN
978-1-4799-3415-7

Potential of Using a Reconfigurable System on a Superscalar Core for ILP Improvements

Autor(en)
Brandalero, Marcelo, Beck, Antonio Carlos Schneider
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2014
Quelle
2014 Brazilian Symposium on Computing Systems Engineering, S. 43 - 48
ISBN
978-1-4799-8559-3
DOI
https://doi.org/10.1109/SBESC.2014.19

Timing for Virtual TMR in Logic Circuits

Autor(en)
Müller, Sebastian, Koal, Tobias, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Quelle
IOLTS 2014, 20th IEEE International On-Line Testing Symposium Hotel Cap Roig, Platja d'Aro, Catalunya, Spain July 7-9, 2014
ISBN
978-1-4799-5324-0

Combining Fault Tolerance and Self Repair at Minimum Cost in Power and Hardware

Autor(en)
Koal, Tobias, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Verlag
IEEE CS Press
Quelle
IEEE International Symposium DDECS 2014, Warschau, S. 153 - 159
ISBN
978-1-4799-4560-3

Vergleich der Beschreibung und Simulation einer Befehlssatzarchitektur in LISA und CoMet

Autor(en)
Urban, Roberto, Lehniger, Kai, Heyne, Maximilian, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Verlag
Göttingen : Cuvillier Verlag
Quelle
MBMV 2014, Böblingen, Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, S. 101 - 112
ISBN
978-3-95404-637-9

Reconfigurable High Performance Architectures

Autor(en)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Verlag
IEEE
Quelle
19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn
ISBN
978-1-4799-3415-7

Entwicklungsumgebung für den compilerzentrierten Mikroprozessorentwurf (CoMet)

Autor(en)
Urban, Roberto, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Verlag
Stuttgart : Fraunhofer Verlag
Quelle
DASS 2014, Dresdner Arbeitstagung Schaltungs- und Systementwurf, Tagungsband
ISBN
978-3-8396-0738-1

Probleme bei Erzeugung und simulationsbasierten Validierung softwarebasierter Selbsttests zur feingranularen Diagnose

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Verlag
Stuttgart : Fraunhofer Verlag
Quelle
DASS 2014, Dresdner Arbeitstagung Schaltungs- und Systementwurf, Tagungsband
ISBN
978-3-8396-0738-1

Diagnostics self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults

Autor(en)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Verlag
Piscataway, NJ : IEEE
Quelle
International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2014), Amsterdam, Netherlands, 1 - 3 October 2014, S. 27 - 32
ISBN
978-1-4799-6155-9
978-1-4799-6156-6

On reliability Estimation for Combined Transient and Permanent Fault Handling

Autor(en)
Scharoba, Stefan, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2014
Verlag
Piscataway, NJ : IEEE
Quelle
14th Biennial Baltic Electronic Conference (BEC), Tallinn, Estonia, 6-8 October 2014, S. 73 - 76
ISBN
978-1-4673-9539-7
978-1-4673-9540-3

A Multiple-ISA Reconfigurable Architecture

Autor(en)
Capella, Fernanda M., Brandalero, Marcelo, Junior, Jair Fajardo, Beck, Antonio Carlos Schneider, Carro, Luigi
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2013
Quelle
2013 III Brazilian Symposium on Computing Systems Engineering (SBESC), Dec. 4 2013 to Dec. 8 2013, Niteroi, Rio De Janeiro, Brazil, S. 71 - 76
DOI
https://doi.org/10.1109/SBESC.2013.23

Kombinierte On-Line-Fehlerkompensation und Selbstreparatur für Logik-Baugruppen

Autor(en)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2013
Verlag
Dresden : Fraunhofer-Institut
Quelle
Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 25. GI/GMM/ITG-Workshop, 24. bis 26. Februar 2013, Dresden

Virtual TMR Schemes Combining Fault Tolerance and Self Repair

Autor(en)
Koal, Tobias, Ulbricht, Markus, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2013
Verlag
IEEE
Quelle
16th IEEE International Euromicro Conference on Digital System Design, DSD 2013, 4-6 Sep 2013, Santander, Spain, S. 235 - 242
ISBN
978-0-7695-5074-9

Towards a Graceful Degradable Multicore-System by hierarchical Handling of Hard Errors

Autor(en)
Müller, Sebastian, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2013
Verlag
Piscataway : IEEE
Quelle
DaRMuS 2013, Special Session on Dynamic and Reliable Multicore Systems, Hosted by 21st EuromicroPDP Conference, S. 302 - 309
ISBN
978-146-735-321-2

Compilerzentrierter Mikroprozessor

Autor(en)
Urban, Roberto, Schölzel, Mario, Vierhaus, Heinrich Theodor
Herausgeber
Dietrich, Manfred
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2013
Verlag
Stuttgart : Fraunhofer-Verl.
Quelle
Tagungsband Dredner Arbeitstagung für Schaltungs- und Systementwurf (DASS 2013)
ISBN
978-3-8396-0545-5

Ein konfigurierbarer Zwischencodesimulator zum compilerzentrierten Mikroprozessorentwurf

Autor(en)
Urban, Roberto, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2013
Verlag
Rostock : Univ., ITMZ
Quelle
Tagungsband Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2013)

On-Line-Test, Fehlerkorrektur znd Selbstreparatur mit Time-Shared TMR

Autor(en)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Herausgeber
Dietrich, Manfred
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2013
Verlag
Stuttgart : Fraunhofer-Verl.
Quelle
Tagungsband Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), April 2013
ISBN
978-3-8396-0545-5

On the Feasibility of Combining On-Line-Test and Self Repair for Logic Circuits

Autor(en)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Herausgeber
Sekanina, Lukas
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2013
Verlag
IEEE Computer Society
Quelle
16th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2013), Karlovny Vary, April 2013
ISBN
978-1-4673-6135-4

Towards an Automatic Generation of Diagnostic In-Field SBST for Processor Components

Autor(en)
Schölzel, Mario, Koal, Tobias, Röder, Stephanie, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2013
Verlag
Piscataway : IEEE
Quelle
Proceedings, 14th IEEE Latin American Test Workshop (LATW 2013)

Combining On-Line Fault Detection and Logic Self Repair

Autor(en)
Koal, Tobias, Ulbricht, Markus, Vierhaus, Heinrich Theodor
Herausgeber
Raik, Jaan
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2012
Verlag
Piscataway, NJ : IEEE
Quelle
IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2012), Tallin, Estonia, 18 - 20 April 2012, S. 288 - 293
ISBN
978-1-4673-1185-4

Selbstreparatur für Logik-Baugruppen mit erweiterten Fähigkeiten für die Kompensation von Fertigungsfehlern und Frühausfällen

Autor(en)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2012
Verlag
Dresden : Fraunhofer IIS, Institutsteil EAS [u.a.]
Quelle
Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), Dresden, Mai 2012, Tagungsband
ISBN
978-3-8396-0404-5

Activity Migration in M-of-N-Systems by Means of Loading-Balancing

Autor(en)
Ulbricht, Markus, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2012
Verlag
Piscataway : IEEE
Quelle
15th Euromicro Conference on Digital Systems Design (DSD), September 2012, S. 258 - 263
ISBN
978-1-4673-2498-4

Hierarchical Self-repair in Heterogenous Multi-core Systems by Means of a Software-based Reconfiguration

Autor(en)
Müller, Sebastian, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2012
Verlag
Piscataway : IEEE
Quelle
VEFRE 8th Workshop on Dependability and Fault-Tolerance, Proceedings of ARCS 2012 Workshops
ISBN
978-1-4673-1913-3

Logic Self Repair Architecture with Self Test Capabilities

Autor(en)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Herausgeber
Drechsler, Rolf
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2012
Verlag
Berlin [u.a.] : VDE-Verl.
Quelle
Zuverlässigkeit und Entwurf, 6. GMM/GI/ITG-Fachtagung vom 25. bis 27. September 2012 in Bremen
ISBN
978-3-8007-3445-0

A Satellite Internal Communication Controller: Design and Implementation

Autor(en)
Veleski, Mitko, Petrovic, Vladimir, Stamenkovic, Zoran
Publikationsart
Konferenzveröffentlichung referiert
Erscheinungsjahr
2012
Quelle
Advances in Circuits, Systems, Automation and Mechanics : 11th WSEAS International Conference on Circuits, Systems Electronics, Control and Signal Processing, Montreux, S. 87 - 90
ISBN
978-1-61804-146-3
URL
https://www.researchgate.net/publication/235652387_A_Satellite_Internal_Communication_Controller_Design_and_Implementation

An Adaptive Self-Test Routine for In-Field Diagnosis of Permanent Faults in Simple RISC Cores

Autor(en)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Herausgeber
Raik, Jaan
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2012
Verlag
Piscataway : IEEE
Quelle
Proceedings, 15th IEEE Interantional Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Tallinn, April 2012, S. 312 - 317
ISBN
978-1-4673-1185-4

Adaptiver softwarebasierter Selbsttest einfacher RISC-Prozessoren zur Lokalisierung von permanenten Fehlern im Feld

Autor(en)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2012
Verlag
Dresden : Fraunhofer IIS, Institutsteil EAS [u.a.]
Quelle
Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), Dresden, Mai 2012, Tagungsband, S. 150 - 155
ISBN
978-3-8396-0404-5

Scan Based Tests Via Standard Interfaces

Autor(en)
Gleichner, Christian, Vierhaus, Heinrich Theodor, Engelke, Piet
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2012
Verlag
Piscataway : IEEE
Quelle
15th Euromicro Conference on Digital System Design (DSD), September 2012, S. 844 - 851
ISBN
978-0-7695-4798-5
978-1-4673-2498-4

IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011

Herausgeber
Vierhaus, Heinrich Theodor, Steininger, Andreas, Pawlak, A., Kraemer, Rolf, Schölzel, Mario, Raik, Jaan
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Freie Schlagworte
Design; Test; Zuverlässigkeit
ISBN
978-1-4244-9755-3
URL
http://www.ieee.org

Optimal Spare Utilization for Reliability and Men Litetime Improvement for Logic Built-in Self Repair

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Freie Schlagworte
Zuverlässigkeit; Selbstreparatur
Quelle
Proceedings, IEEE DDECS 2011, Cottbus
ISBN
978-1-4244-9753-9
URL
www.computer.org

A New Hierarchical Built-In Test with On-Chip Diagnosis for VLIW Processors

Autor(en)
Ulbricht, Markus, Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Freie Schlagworte
Prozessoren; Test; Zuverlässigkeit
Quelle
IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011, S. 143 - 146
ISBN
978-1-4244-9755-3
URL
www.computer.org

A New Hiararchical Built-In SElf Test with On-Chip Diagnosis for VLIW Processors

Autor(en)
Ulbricht, Markus, Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Freie Schlagworte
Prozessoren; Test; Zuverlässigkeit
Quelle
Polian, I. (Hrsg.): Proceedings / 23. ITG / GI /GMM Tagung "Test und Zuverlässigkeit, Passau, 2011

Rekonfigurierbare Logik für Ausbeute-Optimierung und Verschleiß-Kompensation

Autor(en)
Koal, Tobias, Beck, Matthias-Stephan, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Freie Schlagworte
ICs; Zuverlässigkeit; Lebensdauer; Selbstreparatur
Quelle
5. GI /GMM/ITG-Fachtagung "Zuverlässigkeit und Entwurf"

Design and test technology for dependable systems-on-chip

Publikationsart
Buch
Erscheinungsjahr
2011
Verlag
Hershay, Pa. [u.a.] : Information Science Reference
Freie Schlagworte
Systems-on-Chip; Test; Zuverlässigkeit
ISBN
978-1-6096-0212-3

Dependability and Life Time Enhancement for Nano-Electronic Systems

Autor(en)
Koal, Tobias, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Verlag
Poznan : Univ. of Technology, Fac. of Computing, Chair of Control and System Engineering
Freie Schlagworte
ICs; Mikroelektronik; Zuverlässigkeit
Quelle
Signal processing, SPA 2011, Poznan, 29 - 30th September 2011, conference proceedings, S. 61 - 67
ISBN
978-83-62065-02-8

On the Feasibility of Built-in Self Repair for Logic Circuits

Autor(en)
Koal, Tobias, Scheit, Daniel, Schölzel, Mario, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
ICs; Test; Zuverlässigkeit; Selbstreparatur
Quelle
2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011, S. 316 - 324
ISBN
978-1-4577-1713-0

Fine-Grained Software-Based Self Repair of VLIW Processors

Autor(en)
Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
Prozessoren; Test; Zuverlässigkeit; Selbstreparatur
Quelle
2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011, S. 41 - 49
ISBN
978-1-4577-1713-0

Self Repair Technology for Global Interconnects on SoCs

Autor(en)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Verlag
Hershay, Pa. [u.a.] : Information Science Reference
Quelle
Design and test technology for dependable systems-on-chip, S. 195 - 215
ISBN
978-1-6096-0212-3

Built-in Self Repair for Logic Structures

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Verlag
Hershay, Pa. [u.a.] : Information Science Reference
Quelle
Design and test technology for dependable systems-on-chip
ISBN
978-1-6096-0212-3

SoC Self Test Based on a Test Processor

Autor(en)
Koal, Tobias, Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Verlag
Hershey [u.a.] : IGI Global
Quelle
Design an Test Technology for Dependable Systems on Chip, S. 360 - 375
ISBN
978-1-6096-0212-3

Self Repair by Program Reconfiguration in VLIW Processor Architectures

Autor(en)
Schölzel, Mario, Pawlowski, Pawel, Dabrowski, Adam
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2011
Verlag
Hershay, Pa. [u.a.] : Information Science Reference
Quelle
Design and test technology for dependable systems-on-chip, S. 241 - 266
ISBN
978-1-6096-0212-3

Fault-tolerant integrated interconnections based on built-in self-repair and codes

Autor(en)
Scheit, Daniel
Publikationsart
Dissertation
Erscheinungsjahr
2011
Freie Schlagworte
Test; Zuverlässigkeit; Fehlertoleranz; Selbstreparatur
URN
urn:nbn:de:kobv:co1-opus-22679

HW / SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths

Autor(en)
Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Verlag
Piscataway, NJ : IEEE
Quelle
2010 Design, Automation & Test in Europe Conference & Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010, S. 723 - 728
ISBN
978-1-4244-7054-9

Software-Based Self-Repair of Statically Scheduled Superscalar Data Paths

Autor(en)
Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Quelle
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Vienna, April 2010, pp. 66-71

Eingebaute Selbstreparatur zur Kompensation von Produktions- und Alterungsfehlern

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Quelle
Elst, Günter (Hrsg.): DASS 2010, Dresdner Arbeitstagung Schaltungs- und Systementwurf. - Stuttgart : Fraunhofer-Verl., 2010 S. 73-78, 978-3-8396-0126-6

Möglichkeiten und Grenzen der Software-basierten Selbstreparatur in statisch geplanten superskalaren Prozessorarchitekturen

Autor(en)
Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Quelle
Elst, Günter (Hrsg.): DASS 2010, Dresdner Arbeitstagung Schaltungs- und Systementwurf. - Stuttgart : Fraunhofer-Verl., 2010 S. 79-84, 978-3-8396-0126-6

Schwachstellen und Engpässe bei Verfahren zur Fehlerkompensation und Selbstreparatur für hochintegrierte Schaltungen

Autor(en)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Verlag
Berlin [u.a.] : VDE-Verlag
Freie Schlagworte
ICs; Fehler; Test; Selbstreparatur
Quelle
Zuverlässigkeit und Entwurf, 4. GMM/GI/ITG-Fachtagung vom 13. bis 15. September 2010 in Wildbad Kreuth, S. 57 - 62
ISBN
978-3-8007-3299-9

A Software Based Self Test and Hardware Reconfiguration Solution for VLIW Processors

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
Prozessoren; Zuverlässigkeit; Selbstreparatur
Quelle
2010 IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2010), Vienna, Austria, 14 - 16 April 2010, S. 40 - 43
ISBN
978-1-4244-6612-2

Combining De-Stressing and Self Repair for Long-Term Dependable Systems

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
ICs; Zuverlässigkeit; Test; Selbstreparatur
Quelle
2010 IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2010), Vienna, Austria, 14 - 16 April 2010, S. 99 - 104
ISBN
978-1-4244-6613-9

Effiziente Verfahren der Selbstreparatur von Logik

Autor(en)
Gleichner, Christian, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Freie Schlagworte
ICs; Zuverlässigkeit; Selbstreparatur
Quelle
Hellebrand, S. (Hrsg.): Tagungsband TuZ 2010, Paderborn

Effective Logic Self Repair Based on Extracted Logic Clusters

Autor(en)
Gleichner, Christian, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Verlag
IEEE
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Signal Processing Algorithms, Architectures, Arrangements, and Applications Conference Proceedings (SPA), 2010, Poznan
ISBN
978-1-4577-1485-6

Combining Hardware and Software Based Self Repair Methods for Statically Scheduled Data Paths

Autor(en)
Müller, Sebastian, Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
Prozessoren; Zuverlässigkeit; Selbstreparatur
Quelle
2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 2010), Kyoto, Japan, 6 - 8 October 2010, S. 90 - 98
ISBN
978-1-424-48447-8

Effiziente Verfahren der Selbstreparatur von Logik

Autor(en)
Gleichner, Christian, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2010
Quelle
TuZ (2010)pp. 79-84

Test Data and Power Reductions for Transition Delay Tests for Massive Parallel Scan Structures

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2010
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
ICs; Test; low-power
Quelle
2010 13th Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD 2010), Lille, France, 1 - 3 September 2010, S. 283 - 290

Scaling the Discrete Cosine Transformation for Fault-Torelant Real-Time Execution

Autor(en)
Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Verlag
Poznan : Univ. of Technology, Fac. of Computing Science and Management
Quelle
Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings, S. 19 - 24
ISBN
978-83-62065-00-4

A Comprehensive Scheme for Logic Self Repair

Autor(en)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Verlag
Poznan : Univ. of Technology, Fac. of Computing Science and Management
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings, S. 13 - 18
ISBN
978-83-62065-00-4

A Concept for Logic Self Repair

Autor(en)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
12th Euromicro Conference on Digital System Design, DSD '09, 27 - 29 Aug. 2009, Patras, Greece, S. 621 - 624
ISBN
978-1-424-44768-8

Reliability Estimation Process

Autor(en)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Fehlertoleranz
Quelle
12th Euromicro Conference on Digital System Design, DSD '09, 27 - 29 Aug. 2009, Patras, Greece, S. 221 - 224
ISBN
978-1-424-44768-8

Selbstreparatur durch Regularisierung von Logik-Strukturen

Autor(en)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Zuverlässigkeit und Entwurf, 3. GMM/GI/ITG-Fachtagung vom 21. bis 23. September 2009 in Stuttgart, S. 29 - 36
ISBN
978-3-8007-3178-7

A Scheme of Logic Self Repair Including Local Interconnects

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS, Liberec, Czech Republic, 15 - 17 April 2009
ISBN
978-1-4244-3339-1

A Delay Estimation of Rescheduling Schemes for Statically Scheduled Processors

Autor(en)
Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Verlag
Berlin [u.a.] : VDE-Verlag
Freie Schlagworte
Prozessoren; Fehlertoleranz
Quelle
Workshop proceedings, ARCS 2009, 22th International Conference on Architecture of Computing Systems, March 11, 2009, Delft, The Netherlands, S. 117 - 124
ISBN
978-3-8007-3133-6

Logik-Selbstreparatur auf der Basis elementarer Logik-Blöcke mit lokaler Redundanz

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Drechsler, R. (Hrsg.): Proc. TUZ 2009, U. Bremen

Logic Self Repair Based on Regular Buidling Blocks

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Proc. ARCS2009 Workshop on Fault Tolerance,VDI / VDE

Logic Self Repair Based on Regular Building Blocks

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Verlag
Berlin [u.a.] : VDE-Verl.
Quelle
Workshop proceedings, ARCS 2009, 22th International Conference on Architecture of Computing Systems, March 11, 2009, Delft, The Netherlands, S. 71 - 76
ISBN
978-3-8007-3133-6

Zentrale und dezentrale Selbstreparatur von Bussen

Autor(en)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Drechsler, R. (Hrsg.): Proceedings TUZ 2009, Bremen

Zentrale und dezentrale Selbstreparatur von Bussen

Autor(en)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2009
Verlag
Berlin [u.a.] : VDE-Verlag
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Fehlertoleranz
Quelle
Proceedings, EdaWorkshop 09, Dresden (Germany), May 26 - 28, 2009, S. 37 - 42
ISBN
978-3-8007-3165-7

Basic Architecture for Logic Self Repair

Autor(en)
Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Quelle
14th IEEE International On-Line Testing Symposium, 2008. - Piscataway : IEEE, 2008, S. 177-178, 978-0-7695-3264-6

Simulated fault injections and their acceleration in system C

Autor(en)
Misera, Silvio Andre, Vierhaus, Heinrich Theodor
Publikationsart
Artikel
Erscheinungsjahr
2008
Freie Schlagworte
Fehlersimulation
Quelle
Journal of Microprocessors and Microsystems 32(2008)5-6, pp. 270-278, 0141-9331

Test Technology for Sequential Circuits

Autor(en)
Vierhaus, Heinrich Theodor, Stamenković, Zoran
Herausgeber
Oklobdzija, Vojin G.
Publikationsart
Teil eines Buches (Kapitel)
Erscheinungsjahr
2008
Verlag
Boca Raton : CRC Press
Freie Schlagworte
Test; Testable Design
Quelle
The Computer Engineering Handbook, Part 1, Digital design and fabrication
ISBN
978-0-8493-8602-2

Embedded Diagnostic Logic Test Exploiting Regularity

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Herausgeber
Fanucci, Luca
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
IC-Test
Quelle
Proceedings, 11th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, 2008, DSD '08, 3 - 5 Sept. 2008, Parma, Italy, S. 873 - 879

Möglichkeiten und Grenzen der Selbstreparatur für Logik

Autor(en)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Verlag
Dresden : Fraunhofer Institut für integrierte Schaltungen
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS'2008), Workshop Entwurf integrierter Schaltungen (WEIS'08) 15. - 16. Mai 2008
ISBN
3-9810287-2-4

Ein hybrides Selbsttest- und Reparaturkonzept für VLIW-Prozessoren

Autor(en)
Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Freie Schlagworte
Prozessoren; Test; Zuverlässigkeit
Quelle
Steininger, A. (Hrsg.): Proc. 20th ITG-GI-GMM-Workshop "Test und Zuverlässigkeit" 2008, TU Wien, Februar 2008

Logic Self Repair based on Regular Building Blocks

Autor(en)
Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Dabrowksi, A. (Hrsg.): Proc. IEEE NTAV /SPA 2008, Poznan

Möglichkeiten und Grenzen der Selbstreparatur für Logik

Autor(en)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Verlag
Berlin [u.a.] : VDE-Verl.
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Fehlertoleranz
Quelle
Zuverlässigkeit und Entwurf, 2. GMM/GI/ITG-Fachtagung vom 29. September bis 1. Oktober 2008 in Ingolstadt, S. 57 - 64
ISBN
978-3-8007-3119-0
Schriftenreihe(n) ; Bandnummer
GMM Fachbericht ; 57

Angepasste Fehlerdiagnose für die Selbstreparatur in logischen Schaltungen

Autor(en)
Kothe, René, Scheit, Daniel, Vierhaus, Heinrich Theodor
Herausgeber
Elst, G.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Verlag
Dresden : Fraunhofer Institut für integrierte Schaltungen
Quelle
Dresdner Arbeitstagung Schaltungs- und Systementwurf, (DASS'2008), Workshop Entwurf integrierter Schaltungen (WEIS'08) 15. - 16. Mai 2008
ISBN
3-9810287-2-4

Fault Diagnosis in Logic Circuits Exploiting Regularity

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Verlag
Berlin [u.a.] : VDE-Verl.
Quelle
Tagungsband, edaWorkshop 08, Hannover, 6. - 7. Mai 2008, S. 57 - 62
ISBN
978-3-8007-3101-5

Fehlertolerante integrierte Verbindungsstrukturen

Autor(en)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Herausgeber
Elst, G.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Verlag
Dresden : Fraunhofer Institut für integrierte Schaltungen
Quelle
Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS'2008), S. 15 - 16
ISBN
3-9810287-2-4

Fehlertoleranz und Selbstreparatur von Verbindungsstrukturen auf SoCs

Autor(en)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Herausgeber
Steininger, Andreas
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Verlag
Wien : Techn. Univ.
Quelle
20. ITG-GI-Workshop, Wien, TUZ 2008, S. 121 - 126

Fehlertolerante Busse basierend auf Codes und Selbstreparatur

Autor(en)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2008
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Zuverlässigkeit und Entwurf : 2. GMM/GI/ITG-Fachtagung in Ingolstadt, September 2008. - Offenbach : VDI/VDE-Verlag, 2008, S. 157 - 158, 978-3-8007-3119-0 (GMM-Fachbericht ; 57)

A Scan Controller Concept for Low-Power Scan Test

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Artikel
Erscheinungsjahr
2008
Freie Schlagworte
Test; Low-Power
Quelle
Journal of Low-Power Electronis Vol. 4(2008) 1-9, 1546-1998

Simulation von Fehlern in digitalen Schaltungen mit SystemC

Autor(en)
Misera, Silvio Andre
Publikationsart
Dissertation
Erscheinungsjahr
2007
Freie Schlagworte
Digitalschaltung; SystemC; Fehlererkennung; Fehlerbehandlung; Fehlersimulation
URN
urn:nbn:de:kobv:co1-opus-4063

Flip-Flops and Scan Path Elements for Nanoelectronics

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
IEEE
Freie Schlagworte
ICs; Test; Zuverlässigkeit; Fehlertoleranz
Quelle
Proceedings, Design and Diagnostics of Electronic Circuits and Systems DDECS '07, Krakow, 11 - 13 April 2007
ISBN
1-4244-1162-9

Hardware-nahe Fehlersimulation mit effektiven SystemC-Modellen

Autor(en)
Misera, Silvio Andre, Sieber, André
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
Aachen : Shaker
Freie Schlagworte
ICs; Fehler; Test; Fehlersimulation
Quelle
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 10. GI/ITG/GMM-Workshop Modellierung und Verifikation, S. 39 - 48

Reparaturfunktionen für Bus-Strukturen auf SoCs

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Herausgeber
Sattler, Sebastian
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
Berlin [u.a.] : VDE-Verl.
Freie Schlagworte
ICs; SoCs; Test; Selbstreparatur
Quelle
Zuverlässigkeit und Entwurf, 1. GMM/GI/ITG-Fachtagung vom 26. bis 28. März 2007 in München, S. 77 - 84
ISBN
978-3-8007-3023-0
3-8007-3023-5
Schriftenreihe(n) ; Bandnummer
GMM-Fachbericht ; 52

Repair Functions and Redundancy Management for Bus Structures

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
Berlin [u.a.] : VDE-Verlag
Freie Schlagworte
ICs; Zuverlässigkeit; Fehlertoleranz
Quelle
Workshop proceedings, ARCS 2007, 20th International Conference on Architecture of Computing Systems, March 15, 2007, Zurich, Switzerland
ISBN
978-3-8007-3015-5

Reduced Triple Modular Redundancy for Built-in Self Repair in VLIW Processors

Autor(en)
Schölzel, Mario
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Freie Schlagworte
Prozessoren; Zuverlässigkeit; Selbstreparatur
Quelle
Dabrowski, A. (Hrsg.): Proc. IEEE SPA 2007, Poznan, 2007

Timing / Power Optimization for Digital Logic Based on Standard Cells

Autor(en)
Misera, Silvio Andre, Rossmann, Helmut, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Freie Schlagworte
IC design timing; power; Optimierung
Quelle
Proceedings / 10th Euromicro Conference, DSD, 2007, Lübeck, Sept. 2007, IEEE CS Press, 2007, pp. 303 - 306, 0-7695-2978-X

Fault Injection Techniques and their Acccelerated Simulation in SystemC

Autor(en)
Sieber, André, Misera, Silvio Andre, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Freie Schlagworte
ICs; Test; Fehlersimulation
Quelle
Proceedings, 10th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2007, 29 - 31 August 2007, Lübeck, Germany, S. 587 - 595
ISBN
978-0-7695-2978-3

A Configurable Modular Test Processor and Scan Controller Architecture

Autor(en)
Frost, Raik, Rudolph, D., Galke, Christian, Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
Piscataway, NJ : IEEE
Freie Schlagworte
ICs; SoCs; Test; Selbsttest
Quelle
Proceedings, IOLTS 2007, 13th IEEE International On-Line Testing Symposium, Heraklion, Crete, Greece, 8 - 11 July 2007, S. 277 - 284
ISBN
0-7695-2918-6

Möglichkeiten und Grenzen der automatischen SBST-Generierung für einfache Prozessoren

Autor(en)
Galke, Christian, Koal, Tobias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
Dresden : TUDpress, Verl. der Wissenschaften
Freie Schlagworte
Prozessoren; Test; Zuverlässigkeit
Quelle
Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS' 2007), 8. - 9. Mai 2007, S. 39 - 44
ISBN
978-3-940046-28-4
Schriftenreihe(n) ; Bandnummer
Wissenschaftliche Schriftenreihe Eingebettete, selbstorganisierende Systeme ; 5

Hardware-nahe Fehlersimulation mit effektievn SystemC-Modellen

Autor(en)
Misera, Silvio, Sieber, André
Herausgeber
Haubelt, Christian, Teich, Jürgen
Publikationsart
Teil eines Buches (Kapitel)
Erscheinungsjahr
2007
Verlag
Aachen : Shaker
Quelle
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, S. 39 - 48
ISBN
978-3-8322-5956-3
Schriftenreihe(n) ; Bandnummer
Berichte aus der Informatik

Diagnostics Logic Testing Based on Advanced Scan Technology and an Embedded Test Processor

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Bericht
Erscheinungsjahr
2007
Verlag
Cottbus : BTU
Schriftenreihe(n) ; Bandnummer
Computer Science Report ; 2007,1

Embedded Fault Diagnosis Exploiting Regularity

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Freie Schlagworte
ICs; SoCs; Fehler; Test; Diagnose
Quelle
Dabrowski, A. (Hrsg.): Proc. IEEE SPA 2007, Poznan

Fehlerinjektionstechniken in SystemC-Beschreibungen mit Gate- und Switch-Level-Verhalten

Autor(en)
Misera, Silvio, Sieber, Andre
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
Dresden : TUDpress
Quelle
Tagungsband, Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2007), 8. - 9. Mai 2007, S. 29 - 34
ISBN
978-3-940046-28-4
Schriftenreihe(n) ; Bandnummer
Wissenschaftliche Schriftenreihe Eingebettete, selbstorganisierende Systeme ; 5

Fehlerhärtung und Fehlertoleranz für Flip-Flops und Scan-Path-Elemente

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2007
Verlag
Dresden : TUDpress
Quelle
Tagungsband, Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2007), 8. - 9. Mai 2007, S. 67 - 72
ISBN
978-3-940046-28-4
Schriftenreihe(n) ; Bandnummer
Wissenschaftliche Schriftenreihe Eingebettete, selbstorganisierende Systeme ; 5

Logic Self Repair

Autor(en)
Galke, Christian, Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Bonn : Ges. für Informatik
Quelle
Workshop proceedings, ARCS '06, 19th International Conference on Architecture of Computing Systems, March 16, 2006, Frankfurt am Main, S. 36 - 44
ISBN
978-3-88579-175-1

Evaluating Coverage of Error Detection Logic for Soft Errors using Formal Methods

Autor(en)
Krautz, U., Pflanz, Matthias, Vierhaus, Heinrich Theodor, Jacobi, C., Tast, H. W.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Piscataway, NJ : IEEE
Quelle
Design, automation and test in Europe, Munich, Germany, March 6 - 10, 2006, proceedings, vol. 1, S. 176 - 181
ISBN
3-9810801-1-4

Embedded Self Repair by Transistor and Gate Level Reconfiguration

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor, Coym, Torsten, Vermeiren, W., Straube, B.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
IEEE
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
Design and Diagnostics of Electronic Circuits and systems, (DDECS 2006), Prag, April 2006, S. 208 - 213
ISBN
1-4244-0185-2

Built-in Self Repair by Reconfiguration of FPGAs

Autor(en)
Habermann, Sven, Kothe, René, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Los Alamitos, Calif [u.a.] : IEEE Computer Society
Freie Schlagworte
ICs; FPGAs; Zuverlässigkeit; Selbstreparatur
Quelle
Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006, S. 187 - 188
ISBN
978-0-7695-2620-1

Timing-Power-getriebener Layout-Entwurf für Zellen-basierte Digitalschaltungen

Autor(en)
Vick, Axel, Rossmann, Helmut, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Freie Schlagworte
ICs; Layout; Synthese; Timing; Power
Quelle
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 9. ITG/GI/GMM Workshop, 20. - 22. Februar 2006, Dresden, S. 61 - 69

An Embedded Test Strategy for Global and Regiional Interconnects on SoCs

Autor(en)
Kothe, René, Vierhaus, Heinrich Theodor
Herausgeber
Dabrowski, Adam
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Freie Schlagworte
Bus-Test; ICs; Test
Quelle
Signal processing '2006, workshop proceedings, Poznan, 29th September 2006, S. 65 - 70
ISBN
83-913251-7-2

A Mixed Level Fault Simulation of VHDL and SystemC

Autor(en)
Misera, Silvio Andre, Breitenfeld, Lars, Sieber, André, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Freie Schlagworte
Test; Fehlersimulation
Quelle
Proceedings / 10th Euromicro Conference, DSD, 2006, Dubrovnik, IEEE CS Press 2006, pp. 275-279, 0-7695-2609-8

Eine Mixed-Language-Fault-Simulation von VHDL- und SystemC-Modellen

Autor(en)
Misera, Silvio Andre, Sieber, André, Breitenfeld, Lars, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Dresden : Fraunhofer-Institut
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Fehlersimulation
Quelle
Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006

Selbstreparatur von Logik-Baugruppen in hochintegrieten Schaltungen- Möglichkeiten und Grenzen

Autor(en)
Kothe, René, Habermann, Sven, Vierhaus, Heinrich Theodor, Coym, Torsten, Vermeiren, W., Straube, B.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Dresden : Fraunhofer-Institut
Freie Schlagworte
ICs; SoCs; Fehlertoleranz; Selbstreparatur
Quelle
Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006

Hierarchische Fehlersimulation mit effektiven SystemC-Modellen

Autor(en)
Misera, Silvio
Herausgeber
Bachmann, Peter, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Cottbus : BTU, Inst. of Computer Science
Quelle
1st Cooperation Workshop of Computer Science, 2006, Cottbus, S. 31 - 36
Schriftenreihe(n) ; Bandnummer
Computer science reports ; 2006,3

Hardware/Software Based Hierarchical Self Test for SoCs

Autor(en)
Kothe, René, Galke, Christian, Schultke, Sabine, Fröschke, Henry, Gaede, Steffen, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Piscataway, NJ : IEEE Service Center
Quelle
Proceedings of the 2006 IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, April 18-21, 2006, Prague, Czech Republic, S. 157 - 158
ISBN
1-4244-0185-2

Embedded Scan Test with Diagnostic Features for Self-Testing SoCs

Autor(en)
Galke, Christian, Kothe, René, Schultke, Sabine, Winkler, Christin, Honko, Jeanette, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Freie Schlagworte
ICs; Test; Selbsttest
Quelle
Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006, S. 181 - 182
ISBN
978-0-7695-2620-1

Scan-Based SoC Test Using Space / Time Pattern Compaction Schemes

Autor(en)
Galke, Christian, Gätzschmann, Uwe, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Freie Schlagworte
ICs; SoCs; Test; Test Compression
Quelle
Proceedings / 9th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, DSD 2006. - Los Alamitos, Calif. [u.a.] : IEEE Computer Society, 2006, S. 433 - 438, 0-7695-2609-8

Redundanz-Management und Fehlerisolierung für die Selbstreparatur in digitalen und analogen Schaltungen

Autor(en)
Kothe, René, Galke, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2006
Verlag
Berlin [u.a] : VDE-Verlag
Freie Schlagworte
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Quelle
ANALOG '06, Vorträge der 9. ITG/GMM-Fachtagung vom 27. bis 29. September 2006 in Dresden, S. 57 - 62
ISBN
978-3-8007-2988-3
Schriftenreihe(n) ; Bandnummer
ITG-Fachbericht ; 196

Selbstreparatur von Logik-Baugruppen in hochintegrierten Schaltungen - Möglichkeiten und Grenzen

Autor(en)
Kothe, René, Habermann, Sven, Vierhaus, Heinrich Theodor
Publikationsart
Wissenschaftlicher Zeitschriftenartikel nicht referiert
Erscheinungsjahr
2006
Quelle
Forum der Forschung, S. 125 - 130
Band/Jahrgang
10
Ausgabe/Heft
19
ISSN
0947-6989

Power-Timing Optimierung für Zellen-basierte Digitalschaltungen in Submikron-Technologien

Autor(en)
Vierhaus, Heinrich Theodor, Rossmann, Helmut
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2005
Verlag
Bonn : Gesellschaft für Informatik
Quelle
Informatik 2005 - Informatik LIVE!, Beiträge der 35. Jahrestagung der Gesellschaft für Informatik e.V., 19. - 22. September 2005 in Bonn, Bd. 1, S. 339 - 343
ISBN
3-88579-396-2
Schriftenreihe(n) ; Bandnummer
GI-Edition : Proceedings ; 67

A Multi-Purpose Concept for SoC Self Test Including Diagnostics Features

Autor(en)
Kothe, René, Galke, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2005
Verlag
IEEE Computer Society : Los Alamitos, Calif. [u.a.]
Quelle
11th IEEE International On-Line Testing Symposium, 2005, IOLTS 2005, 6 - 8 July 2005, [Saint Raphael, French Riviera, France, proceedings], S. 241 - 246
ISBN
0-7695-2406-0

Eine Simulationsumgebung zur Validierung des Fehlerverhaltens für Prozessor-basierte Systeme

Autor(en)
Galke, Christian, Misera, Silvio, Fröschke, Henry, Vierhaus, Heinrich Theodor
Herausgeber
Hellebrand, Sybille
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2005
Quelle
Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 17. ITG/GI/GMM Workshop, 27. Febr. - 1. März 2005, Hilton Innsbruck, Österreich

Parallele hierarchische Fehlersimulation zur Validierung des Fehlerverhaltens für SoCs

Autor(en)
Galke, Christian, Vierhaus, Heinrich Theodor, Misera, Silvio, Fröschke, Henry
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2005
Quelle
GI/ITG/GMM-Workshop Modellierung und Verifikation, 2005 an der TU München

Ein flexibler Ansatz für den Scan-Test von SoCs

Autor(en)
Gätzschmann, Uwe, Galke, Christian, Vierhaus, Heinrich Theodor, Kaibel, M.
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2005
Quelle
17. ITG-GI-GMM-Workshop Test und Zuverlässigkeit von Schaltungen und Systemen, Inssbruck, Febr./März 2005, S. 32-36

Transistor- and Gate Level Self Repair for Logic Circuits

Autor(en)
Vierhaus, Heinrich Theodor, Dabrowski, Adam
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2005
Quelle
Signal processing '2005, workshop proceedings, Poznan, 30th September 2005, S. 7 - 12
ISBN
83-913251-6-4

Application Specific Processor Design for Digital Signal Processing

Autor(en)
Schölzel, Mario, Bachmann, Peter, Vierhaus, Heinrich Theodor
Herausgeber
Dabrowski, Adam
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2004
Verlag
Poznan : Poznan Univ. of Technology
Quelle
Signal processing '2004, workshop proceedings, Poznan, 24th September 2004, S. 7 - 15
ISBN
83-913251-5-6

A Hierarchical Self Test Scheme for SoCs

Autor(en)
Kretzschmar, Claudia, Galke, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2004
Quelle
Metra, Cecilia (Hrsg.): Proceedings / 10th IEEE International On-Line Testing Symposium, IOLTS 2004 : 12 - 14 July 2004, Funchal, Madeira Island, Portugal. - Los Alamitos, Calif. [u.a.] : IEEE Computer Society, 2004, S. 37-42, 0-7695-2180-0

Ein funktionales Selbsttest-Konzept für Prozessor-Strukturen am Beispiel des Testprozessors T5016p

Autor(en)
Schwabe, Hanko, Galke, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2004
Quelle
Straube, Bernd (Red.): Testmethoden und Zuverlässigkeit von Schaltungen und Systemen : 16. ITG/GI/GMM Workshop, 29. Februar - 2. März 2004 in Dresden, Dresden : Fraunhofer IIS/EAS, 2004

Ein flexibles Verfahren zur Testdaten-Kompaktierung und -Dekompaktierung für den Scan-Test

Autor(en)
Gätzschmann, Uwe, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2004
Quelle
Straube, Bernd (Red.): Testmethoden und Zuverlässigkeit von Schaltungen und Systemen : 16. ITG/GI/GMM Workshop, 29. Februar - 2. März 2004 in Dresden, Dresden : Fraunhofer IIS/EAS, 2004

FIT - a parallel hierarchical fault simulator

Autor(en)
Misera, Silvio Andre, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2004
Verlag
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Quelle
PARELEC 2004, International Conference on Parallel Computing in Electrical Engineering, and Workshop on System Design Automation (SDA), 7 - 10 September 2004, Dresden, Germany, S. 289 - 296
ISBN
0-7695-2080-4

Control Signal Protection - A New Challenge for High Performance Processors

Autor(en)
Pflanz, Matthias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2003
Verlag
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Quelle
Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece, S. 173 - 177
ISBN
0-7695-1968-7

Kompaktierung von Testmustern für den Test von SoCs mittels einer Testprozessor-Architektur

Autor(en)
Galke, Christian, Grabow, M., Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2003
Quelle
15. ITG-GI-GMM-Workshop: Test und Zuverlässigkeit von Schaltungen und Systemen, Timmendorfer Strand, März 2003 (Poster-Session)

Test Pattern De-/Compaction for SoC Test in a Test Processor Environment

Autor(en)
Galke, Christian, Grabow, M., Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2003
Quelle
8th IEEE European Test Workshop, Maastricht, May 2003 (Poster-Session)

Perspectives of combining online and offline test technology for dependable systems on a chip

Autor(en)
Galke, Christian, Grabow, M., Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2003
Verlag
Los Alamitos, Calif. [u.a.] : IEEE
Quelle
Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece, S. 183 - 187
ISBN
0-7695-1968-7

Detection and Compensation of Transient Errors in Processor Structures

Autor(en)
Galke, Christian, Pflanz, Matthias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2003
Quelle
6th IEEE Intern. Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Poznan, April 2003

Online error detection and fast recover techniques for dependable embedded processors

Autor(en)
Pflanz, Matthias
Publikationsart
Dissertation
Erscheinungsjahr
2002
Verlag
Berlin [u.a.] : Springer
ISBN
3-540-43318-X
Schriftenreihe(n) ; Bandnummer
Lecture notes in computer science ; 2270

A Test Processor Concept for Systems-on-a-Chip

Autor(en)
Galke, Christian, Pflanz, Matthias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2002
Quelle
Proceeding IEEE International Conference on Computer Design (ICCD), Freiburg, pp. 210-213, 0-7695-1700-5

Testing of Synchronous Sequential Digital Circuits

Autor(en)
Gläser, Uwe, Stamenković, Zoran, Vierhaus, Heinrich Theodor
Herausgeber
Oklobdzija, Vojin G.
Publikationsart
Teil eines Buches (Kapitel)
Erscheinungsjahr
2002
Verlag
Boca Raton [u.a.] : CRC Press
Quelle
The Computer Engineering Handbook, S. 45-1 - 45-22
ISBN
0-8493-0885-2

On-Line Error Detection and Correction in Storage Elements with Cross-Parity Check

Autor(en)
Pflanz, Matthias, Walther, Karsten, Galke, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2002
Verlag
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Quelle
Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France, S. 69 - 73
ISBN
0-7695-1641-6

On-Line Detection and Compensation of Transient Errors in Processor Pipeline Structurs

Autor(en)
Galke, Christian, Pflanz, Matthias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2002
Verlag
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Quelle
Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France
ISBN
0-7695-1641-6

Hardware/Software Based Test Techniques for Systems on a Chip with Embedded Processors

Autor(en)
Galke, Christian, Mohaupt, Thomas, Pflanz, Matthias, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2002
Quelle
BTU Computer Science Reports No. 05/02

Hardware/Software basierter Selbsttest für System on a Chip (SOCs) mit eingebetteten Prozessoren

Autor(en)
Galke, Christian, Pflanz, Matthias, Hennig, Hardy, Vierhaus, Heinrich Theodor
Herausgeber
Wagner, Ralph
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2002
Quelle
Proceeding 14. ITG-Gi-Workshop "Test und Zuverlässigkeit von Schaltungen und Systemen", Bad Herrenalb

On-Line Built-In Self-Check Techniken für zuverlässige eingebettete Prozessoren mit hoher Konplexität

Autor(en)
Pflanz, Matthias, Walther, Karsten, Vierhaus, Heinrich Theodor
Herausgeber
Alt, Jürgen
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2001
Quelle
Procedding 13. ITG-GI-Workshop "Test und Zuverlässigkeit von Schaltungen und Systeme", Miesbach

On-line Error Detection Techniques for Depandable Embedded Processors with High Complexity

Autor(en)
Pflanz, Matthias, Walther, Karsten, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2001
Quelle
Proceeding 7th IEEE International On-Line Test Workshop
Quelle
Proceedings, Seventh International On-Line Testing Workshop, 9 - 11 July 2001, Giardini Naxos, Taormina, Italy, S. 51 - 53
ISBN
0-7695-1290-9

Online Check and Recovery Techniques for Depandable Embedded Processors

Autor(en)
Pflanz, Matthias, Vierhaus, Heinrich Theodor
Publikationsart
Wissenschaftlicher Zeitschriftenartikel referiert
Erscheinungsjahr
2001
Quelle
IEEE micro, S. 24 - 40
Band/Jahrgang
21
Ausgabe/Heft
5
ISSN
0272-1732

A Register Transfer Fault Simulator for Permanent and Transient Faults in Embedded Processors

Autor(en)
Rousselle, Christian, Pflanz, Matthias, Behling, A., Mohaupt, Thomas, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2001
Quelle
Proceedings, Design, Automation and Test in Europe, Conference and Exhibition 2001, Munich, Germany, March 13 - 16, 2001, S. 811
ISBN
0-7695-0993-2
0-7695-0994-0

Design and Architecture of Dependable Computer-Based Systems

Autor(en)
Vierhaus, Heinrich Theodor, Pflanz, Matthias, Mohaupt, Thomas
Publikationsart
Wissenschaftlicher Zeitschriftenartikel nicht referiert
Erscheinungsjahr
2000
Quelle
Proceedings of the Polish-German Symposium on Science-Research-Education (SRE), Sept. 2000, S.183-192, 83-85911-86-3

A New Method for On-Line State Machine Observation for Embedded Microprocessors

Autor(en)
Pflanz, Matthias, Galke, Christian, Vierhaus, Heinrich Theodor
Publikationsart
Konferenzveröffentlichung
Erscheinungsjahr
2000
Quelle
Proceedings / IEEE International High-Level Design Validation and Test Workshop : 8 - 10 November 2000, Berkeley, California. - Los Alamitos, Calif. [u.a.] : IEEE Computer Society, 2000, S. 34-39, 0-7695-0786-7