Publications

TAPRE-HBM: Trace-Based Processor Rapid Emulation Using HBM on FPGAs

Author(s)
Knödtel, Johannes, Munoz Hernandez, Hector Gerardo, Lehnert, Alexander, Thieu, Gia Bao, Gesper, Sven, Payá-Vayá, Guillermo, Reichenbach, Marc
Editor(s)
Palumbo, Francesca, Keramidas, Georgios, Voros, Nikolaos, Diniz, Pedro C.
Type
Conference Proceeding refering
Year of publication
2023
Publisher name
Cham : Springer
Source
Applied Reconfigurable Computing. Architectures, Tools, and Applications, 19th International Symposium, ARC 2023, Cottbus, Germany, September 27–29, 2023, Proceedings, S. 307 - 321
ISBN
978-3-031-42920-0
978-3-031-42921-7
DOI
https://doi.org/10.1007/978-3-031-42921-7_21
Lecture Notes in Computer Science ; 14251

A Modular Communication Architecture for Adaptive UAV Swarms

Author(s)
Shahin, Keyvan, Rotta, Randolf, Archila, Oscar, Mykytyn, Pavlo, Nattke, Matthias, Reichenbach, Marc, Nolte, Jörg, Natarov, Roman
Type
Conference Proceeding refering
Year of publication
2023
Publisher name
Berlin : IEEE
Source
2023 IEEE International Conference on Omni-layer Intelligent Systems (COINS)
ISBN
979-8-3503-4647-3
979-8-3503-4648-0
DOI
https://doi.org/10.1109/COINS57856.2023.10189245

A Design-Space Exploration Framework for Application-Specific Machine Learning Targeting Reconfigurable Computing

Author(s)
Mahmood, Safdar, Hübner, Michael, Reichenbach, Marc
Editor(s)
Palumbo, Francesca, Keramidas, Georgios, Voros, Nikolaos, Diniz, Pedro C.
Type
Conference Proceeding refering
Year of publication
2023
Publisher name
Cham : Springer
Source
Applied Reconfigurable Computing. Architectures, Tools, and Applications, 19th International Symposium, ARC 2023, Cottbus, Germany,September 27-29, 2023, S. 371 - 374
ISBN
978-3-031-42921-7
978-3-031-42920-0
DOI
https://doi.org/10.1007/978-3-031-42921-7_27

A New Chaos-Based PRNG Hardware Architecture Using The HUB Fixed-Point Format

Author(s)
Da Silva, Samuel Souza, Cardoso, Matheus, Nardo, Lucas, Nepomuceno, Erivelton, Hübner, Michael, Arias-Garcia, Janier
Type
Scientific Article ref.
Year of publication
2023
Source
IEEE Transactions on Instrumentation and Measurement, S. 1 - 8
Volume
Vol. 72
ISSN
1557-9662
0018-9456
DOI
https://doi.org/10.1109/TIM.2023.3235457

An RRAM-based building block for reprogrammable non-uniform sampling ADCs

Author(s)
Vishwakarma, Abhinav, Fritscher, Markus, Hagelauer, Amelie, Reichenbach, Marc
Type
Scientific Article ref.
Year of publication
2023
Source
Information Technology : it, S. 39 - 51
Volume
65
Issue/Journal number
1-2
ISSN
2196-7032
DOI
https://doi.org/10.1515/itit-2023-0021

A cross-layer framework for adaptive processor-based systems regarding error resilience and power efficiency

Author(s)
Veleski, Mitko
Type
doctoralthesis
Year of publication
2022
URN
urn:nbn:de:kobv:co1-opus4-61450
DOI
https://doi.org/10.26127/BTUOpen-6145

EasyHBM: Simple and Fast HBM Access for FPGAs Using High-Level-Synthesis

Author(s)
Schwenger, Lars, Holzinger, Philipp, Fey, Dietmar, Munoz Hernandez, Hector Gerardo, Reichenbach, Marc
Editor(s)
Orailoglu, Alex, Reichenbach, Marc, Jung, Matthias
Type
Conference Proceeding refering
Year of publication
2022
Publisher name
Cham : Springer
Source
Embedded computer systems : architectures, modeling, and simulation : 22nd International Conference, SAMOS 2022, Samos, Greece, July 3-7, 2022, proceedings, S. 43 - 60
ISBN
978-3-031-15073-9
978-3-031-15074-6
Lecture notes in computer science ; 13511

ICU4SAT: A General-Purpose Reconfigurable Instrument Control Unit Based on Open Source Components

Author(s)
Nannipieri, Pietro, Giuffrida, Gianluca, Lorenzo, Diana, Panicacci, Silvia, Zulberti, Luca, Fanucci, Luca, Munoz-Hernandez, Hector Gerardo, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2022
Publisher name
Piscataway, NJ : IEEE
Source
IEEE Aerospace Conference (AERO), 5-12 March 2022, S. 1 - 9
ISBN
978-16654-3760-8
DOI
https://doi.org/10.1109/AERO53065.2022.9843414

Application Runtime Estimation for AURIX Embedded MCU Using Deep Learning 

Author(s)
Fricke, Florian, Scharoba, Stefan, Rachuj, Sebastian, Konopik, Andreas, Kluge, Florian, Hofstetter, Georg, Reichenbach, Marc 
Editor(s)
Orailoglu, Alex, Reichenbach, Marc, Jung, Matthias
Type
Conference Proceeding refering
Year of publication
2022
Publisher name
Cham : Springer
Source
Embedded computer systems : architectures, modeling, and simulation : 22nd International Conference, SAMOS 2022, Samos, Greece, July 3-7, 2022, proceedings, S. 235 - 249
ISBN
978-3-031-15073-9
978-3-031-15074-6
DOI
https://doi.org/10.1007/978-3-031-15074-6_15
Lecture notes in computer science ; 13511

Edge GPU based on an FPGA Overlay Architecture using PYNQ

Author(s)
Hernandez, Hector Gerardo Muñoz, Fricke, Florian, Al Kadi, Muhammed, Reichenbach, Marc, Hübner, Michael
Type
Scientific Article ref.
Year of publication
2022
Source
35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design (SBCCI). 2022
ISBN
978-1-6654-8128-1
978-1-6654-8129-8
DOI
https://doi.org/10.1109/SBCCI55532.2022.9893229

Artificial Intelligence for Mass Spectrometry and Nuclear Magnetic Resonance Spectroscopy Using a Novel Data Augmentation Method

Author(s)
Fricke, Florian, Brandalero, Marcelo, Liehr, Sascha, Kern, Simon, Meyer, Klas, Kowarik, Stefan, Hierzegger, Robin, Westerdick, Stephan, Maiwald, Michael, Hübner, Michael
Type
Scientific Article ref.
Year of publication
2022
Source
IEEE Transactions on Emerging Topics in Computing, S. 87 - 98
Volume
10
Issue/Journal number
1
ISSN
2168-6750
DOI
https://doi.org/10.1109/TETC.2021.3131371

Suitability of ISAs for Data Paths Based on Redundant Number Systems: Is RISC-V the best?

Author(s)
Knödtel, Johannes, Rachuj, Sebastian, Reichenbach, Marc
Type
Conference Proceeding refering
Year of publication
2022
Publisher name
IEEE
Source
25th Euromicro Conference on Digital System Design (DSD), 31 August 2022 - 02 September 2022, Maspalomas, Spain, S. 247 - 253
DOI
https://doi.org/10.1109/DSD57027.2022.00041

Modeling and Fault Detection of Brushless Direct Current Motor by Deep Learning Sensor Data Fusion

Author(s)
Suawa Fogou, Priscile, Meisel, Tenia, Jongmanns, Marcel, Hübner, Michael, Reichenbach, Marc
Type
Scientific Article ref.
Year of publication
2022
Source
Sensors
Volume
22
Issue/Journal number
9
ISSN
1424-8220
DOI
https://doi.org/10.3390/s22093516

Detecting Improvised Land-mines using Deep Neural Networks on GPR Image Dataset targeting FPGAs 

Author(s)
Mahmood, Safdar, Scharoba, Stefan, Schorlemer, Jonas, Schulz, Christian, Hübner, Michael, Reichenbach, Marc 
Type
Conference Proceeding refering
Year of publication
2022
Publisher name
Piscataway, NJ : IEEE
Source
IEEE Nordic Circuits and Systems Conference (NORCAS), 25-26 October 2022, Oslo, Norway, S. 1 - 7
ISBN
979-8-3503-4550-6
979-8-3503-4551-3
DOI
https://doi.org/10.1109/norcas57515.2022.9934735

Deep Learning in Signal Linearization for Harmonic Imaging Application

Author(s)
Fouad, Mariam, Schmitz, Georg, Hübner, Michael, Abdelghany, Mohamed
Type
Conference Proceeding refering
Year of publication
2021
Source
IEEE 18th International Symposium on Biomedical Imaging (ISBI)
ISBN
978-1-6654-1246-9
978-1-6654-1245-2
978-1-6654-2947-4
DOI
https://doi.org/10.1109/ISBI48211.2021.9434134

Reduced Precision DWC: an Efficient Hardening Strategy for Mixed-Precision Architectures

Author(s)
Fernandes dos Santos, Fernando, Brandalero, Marcelo, Rech Junior, Rubens Luiz, Hübner, Michael, Rech, Paolo, Sullivan, Michael, Martins Basso, Pedro, Carro, Luigi
Type
Scientific Article ref.
Year of publication
2021
Source
IEEE Transactions on Computers, S. 573 - 586
Volume
71(2022)
Issue/Journal number
3
ISSN
0018-9340
DOI
https://doi.org/10.1109/TC.2021.3058872

From the Internet of Things to an Internet of Services in Healthcare

Author(s)
Schmailzl, Georg J. G., Hübner, Michael
Type
Scientific Article ref.
Year of publication
2021
Source
Enhanced Telemedicine and e-Health, S. 141 - 153
ISSN
1860-0808
ISBN
978-3-030-70110-9
978-3-030-70111-6
DOI
https://doi.org/10.1007/978-3-030-70111-6_7
Studies in Fuzziness and Soft Computing book series ; volume 410

Accelerating Convolutional Neural Networks in FPGA-based SoCs using a Soft-Core GPU

Author(s)
Hernandez, Hector Gerardo Muñoz, Veleski, Mitko, Brandalero, Marcelo, Hübner, Michael
Editor(s)
Derrien, Steven, Hannig, Frank, Diniz, Pedro C., Chillet, Daniel
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
Cham : Springer
Source
Applied Reconfigurable Computing. Architectures, Tools, and Applications : 17th International Symposium, ARC 2021, Virtual Event, June 29–30, 2021, Proceedings, S. 275 - 284
ISBN
978-3-030-79024-0
978-3-030-79025-7
DOI
https://doi.org/10.1007/978-3-030-79025-7_20
Lecture Notes in Computer Science book series ; volume 12700

A Manycore Vision Processor for Real-Time Smart Cameras

Author(s)
Da Silva, Bruno A., Lima, Arthur M., Garcia, Janier Arias, Hübner, Michael, Yudi, Jones
Type
Scientific Article ref.
Year of publication
2021
Source
Sensors
Volume
21
Issue/Journal number
21
ISSN
1424-8220
DOI
https://doi.org/10.3390/s21217137

Performance analysis of application-specific instruction-set routers in networks-on-chip

Author(s)
Rettkowski, Jens, Haase, Julian, Primus, Sven, Hübner, Michael, Göhringer, Diana
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
New York : ACM Digital Library
Source
NoCArc '21: Performance analysis of application-specific instruction-set routers in networks-on-chip, S. 16 - 21
DOI
https://doi.org/10.1145/3477231.3490426

Embedded AI Techniques for Industrial Applications

Author(s)
Brandalero, Marcelo, Veleski, Mitko, Muñoz-Hernandez, Hector Gerardo, Ali, Muhammad, Le Jeune, Laurens, Goedemé, Toon, Mentens, Nele, Vandendriessche, Jurgen, Lhoest, Lancelot, Da Silva, Bruno, Touhafi, Abdellah, Goehringer, Diana, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
Piscataway, NJ : IEEE
Source
31st International Conference on Field-Programmable Logic and Applications (FPL), Dresden, Germany, 30 August-3 September 2021, S. 374 - 375
ISBN
978-1-6654-3759-2
DOI
https://doi.org/10.1109/FPL53798.2021.00071

AITIA: Embedded AI Techniques for Industrial Applications

Author(s)
Brandalero, Marcelo, Veleski, Mitko, Munoz Hernandez, Hector Gerardo, Ali, Muhammad, Le Jeune, Laurens, Goedemé, Toon, Mentens, Nele, Vandendriessche, Jurgen, Lhoerst, Lancelot, Da Silva, Bruno, Touhafi, Abdellah, Goehringer, Diana, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
Piscataway, NJ : IEEE
Source
31st International Conference on Field-Programmable Logic and Applications, FPL 2021, Dresden, Germany, 30 August-3 September 2021, S. 374 - 375
ISBN
978-1-6654-3759-2
DOI
https://doi.org/10.1109/FPL53798.2021.00071

A Low-Power RRAM Memory Block for Embedded, Multi-Level Weight and Bias Storage in Artificial Neural Networks

Author(s)
Pechmann, Stefan, Mai, Timo, Potschka, Julian, Reiser, Daniel, Reichel, Peter, Breiling, Marco, Reichenbach, Marc, Hagelauer, Amelie
Type
Scientific Article ref.
Year of publication
2021
Source
Micromachines
Volume
12
Issue/Journal number
11
ISSN
2072-666X
URN
urn:nbn:de:bvb:29-opus4-174878
DOI
https://doi.org/10.3390/mi12111277

Towards Machine Learning Support for Embedded System Tests

Author(s)
Scharoba, Stefan, Basener, Kai-Uwe, Bielefeldt, Jens, Wiesbrock, Hans-Werner, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
Piscataway, NJ : IEEE
Source
24th Euromicro Conference on Digital System Design, DSD 2021, virtual conference, 1-3 September 2021, S. 166 - 173
ISBN
978-1-6654-2703-6
978-1-6654-2704-3
DOI
https://doi.org/10.1109/dsd53832.2021.00034

Evaluating softcore GPU in SRAM-based FPGA under radiation-induced effects

Author(s)
Giani, Braga, Benevenuti, Fabio, Gonçalves, Marcio M., Hübner, Michael, Brandalero, Marcelo, Kastensmidt, Fernanda, Azambuja, Jose Rodrigo
Type
Scientific Article ref.
Year of publication
2021
Source
Microelectronics Reliability
Volume
126
ISSN
0026-2714
1872-941X
DOI
https://doi.org/10.1016/j.microrel.2021.114348

Design and implementation strategy of adaptive processor-based systems for error resilient and power-efficient operation

Author(s)
Veleski, Mitko, Hübner, Michael, Krstic, Milos, Kraemer, Rolf
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
Piscataway, NJ : IEEE
Source
24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS, April 7-9 2021, S. 57 - 62
ISBN
978-1-6654-3595-6
DOI
https://doi.org/10.1109/DDECS52668.2021.9417023

Towards Error Resilient and Power-Efficient Adaptive Multiprocessor System using Highly Configurable and Flexible Cross-Layer Framework

Author(s)
Veleski, Mitko, Hübner, Michael, Krstic, Milos, Kraemer, Rolf
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
Piscataway, NJ : IEEE
Source
IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS), IOLTS 2021, June 28-30, 2021, S. 1 - 7
ISBN
978-1-6654-3370-9
DOI
https://doi.org/10.1109/IOLTS52814.2021.9486695

DeepTest: How Machine Learning Can Improve the Test of Embedded Systems 

Author(s)
Bielefeldt, Jens, Basener, Kai-Uwe, Khan, Siddique R., Massah, Mozhdeh, Wiesbrock, Hans-Werner, Scharoba, Stefan, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
Piscataway, NJ : IEEE
Source
10th Mediterranean Conference on Embedded Computing (MECO), 7-10 June 2021, S. 1 - 6
ISBN
978-1-6654-3912-1
978-1-6654-2989-4
DOI
https://doi.org/10.1109/meco52532.2021.9460182

Einsatz von Deep Learning für den Test Eingebetteter Systeme 

Author(s)
Bielefeldt, Jens, Basener, Kai-Uwe, Khan, Siddique R., Massah, Mozhdeh, Wiesbrock, Hans-Werner, Scharoba, Stefan, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2021
Publisher name
Bonn : Gesellschaft für Informatik e.V.
Source
Informatik 2021: computer science & sustainability, 27. September-01. Oktober 2021, Berlin
ISBN
978-3-88579-708-1
DOI
https://doi.org/10.18420/INFORMATIK2021-166
GI-Edition. Proceedings ; Volume P-314

VLSI for Next Generation CE

Author(s)
Voros, Nikolaos, Stan, Mircea, Hübner, Michael, Keramidas, Georgios
Type
Conference Proceeding refering
Year of publication
2020
Source
EEE Consumer Electronics Magazine, S. 88 - 89
DOI
https://doi.org/10.1109/MCE.2019.2959747

A Machine Learning Methodology for Cache Memory Design Based on Dynamic Instructions

Author(s)
Navarro, Osvaldo, Yudi, Jones, Hoffmann, Javier Eduardo, Hernandez, Hector Gerardo Muñoz, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2020
Source
ACM Transactions on Embedded Computing Systems
DOI
https://doi.org/10.1145/3376920

Domain Adaptive Processor Architectures

Author(s)
Fricke, Florian, Mahmood, Safdar, Hoffmann, Javier Eduardo, Ali, Muhammad, Shahin, Keyvan, Hübner, Michael, Göhringer, Diana
Type
Conference Proceeding refering
Year of publication
2020
Source
Kommunikation und Bildverarbeitung in der Automation, S. 315 - 330
ISBN
978-3-662-59895-5
978-3-662-59894-8
DOI
https://doi.org/10.1007/978-3-662-59895-5_23
Technologien für die intelligente Automation book series ; volume 12

Hardware Module Design and Software Implementation of Multisensor Fire Detection and Notification System Using Fuzzy Logic and Convolutional Neural Networks (CNNs)

Author(s)
Sowah, Robert A., Apeadu, Kwaku, Gatsi, Francis, Ampadu, Kwame Owusu, Mensah, Baffour S.
Type
Conference Proceeding refering
Year of publication
2020
Source
Journal of Engineering
DOI
https://doi.org/10.1155/2020/3645729

Run-time Hardware Reconfiguration of Functional Units to Support Mixed-Critical Applications

Author(s)
Segabinazzi Ferreira, Raphael, Nolte, Jörg, Vargas, Fabian, George, Nevin, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2020
Source
IEEE Latin American Test Symposium (LATS), Maceió, Brazil
DOI
https://doi.org/10.1109/LATS49555.2020.9093692

Toward an ICT-Based Service Oriented Health Care Paradigm

Author(s)
Antonopoulos, Christos, Keramidas, Georgios, Voros, Nikolaos, Hübner, Michael, Schwiegelshohn, Fynn, Göhringer, Diana, Dagioglou, Maria, Stavrinos, Georgios, Konstantopoulos, Stasinos, Karkaletsis, Vangelis
Type
Conference Proceeding refering
Year of publication
2020
Publisher name
IEEE
Source
IEEE Consumer Electronics Magazine, S. 77 - 82
URL
https://ieeexplore.ieee.org/abstract/document/9109415
DOI
https://doi.org/10.1109/MCE.2020.2969202

Guest Editors’ Introduction: SBCCI 2018

Author(s)
Hübner, Michael, Guntzel, Jose Luis
Type
Conference Proceeding no refering
Year of publication
2020
Source
IEEE Design & Test, S. 5 - 6
DOI
https://doi.org/10.1109/MDAT.2020.2989094

TIRUB: A Safety and Energy-Aware Scheduling Algorithm

Author(s)
Hoffmann, Javier Eduardo, Brandalero, Marcelo, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2020
Source
Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020, Poznan, Poland
ISBN
978-83-62065-39-4
978-83-62065-37-0
DOI
https://doi.org/10.23919/SPA50552.2020.9241280

Highly Configurable Framework for Adaptive Low Power and Error-Resilient System-On-Chip

Author(s)
Veleski, Mitko, Hübner, Michael, Krstic, Milos, Kraemer, Rolf
Type
Conference Proceeding refering
Year of publication
2020
Publisher name
IEEE
Source
23rd EUROMICRO Conference on Digital System Design (DSD 2020), Portoroz, Slovenia, 26 - 28 August 2020, S. 24 - 28
ISBN
978-1-7281-9535-3
978-1-7281-9536-0
DOI
https://doi.org/10.1109/DSD51259.2020.00015

Aging-Aware Parallel Execution

Author(s)
Medeiros, Thiarles S., Berned, Gustavo P., Navarro, Antoni, Rossi, Fábio D., Luizelli, Marcelo C., Brandalero, Marcelo, Hübner, Michael, Beck, Antonio Carlos Schneider, Lorenzon, Arthur F.
Type
Scientific Article ref.
Year of publication
2020
Source
IEEE Embedded System Letters, S. 122 - 125
Volume
13 (2021)
Issue/Journal number
3
ISSN
1943-0663
DOI
https://doi.org/10.1109/LES.2020.3021854

AITIA: Embedded AI Techniques for Industrial Applications

Author(s)
Brandalero, Marcelo, Ali, Muhammad, Le Jeune, Laurens, Muñoz Hernandez, Hector Gerardo, Veleski, Mitko, Silva, Bruno da, Lemeire, Jan, Van Beeck, Kristof, Touhafi, Abdellah, Goedemé, Toon, Mentens, Nele, Göhringer, Diana, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2020
Publisher name
Piscataway, NJ : IEEE
Source
International Conference on Omni-Layer Intelligent Systems (COINS), 31 August-2 September 2020, Barcelona, Spain, S. 1 - 7
ISBN
978-1-7281-6371-0
DOI
https://doi.org/10.1109/COINS49042.2020.9191672

Deep Learning Utilization In Beamforming Enhancement for Medical Ultrasound

Author(s)
Fouad, Mariam, Metwally, Yousef, Schmitz, Georg, Hübner, Michael, Abd El Ghany, Mohamed A.
Type
Conference Proceeding refering
Year of publication
2020
Publisher name
IEEE
Source
2020 IEEE 44th Annual Computers, Software, and Applications Conference (COMPSAC), 13-17 July 2020, Madrid, Spain, S. 717 - 722
ISBN
978-1-7281-7303-0
DOI
https://doi.org/10.1109/COMPSAC48688.2020.0-175

Multi-Target Adaptive Reconfigurable Acceleration for Low-Power IoT Processing

Author(s)
Brandalero, Marcelo, Carro, Luigi, Beck, Antonio Carlos Schneider, Shafique, Muhammad
Type
Conference Proceeding refering
Year of publication
2020
Source
IEEE Transactions on Computers
DOI
https://doi.org/10.1109/TC.2020.2984736

A Modular Software Library for Effective High-Level Synthesis of Convolutional Neural Networks

Author(s)
Hernandez, Hector Gerardo Muñoz, Mahmood, Safdar, Brandalero, Marcelo, Hübner, Michael
Type
Conference Proceeding no refering
Year of publication
2020
Publisher name
Cham : Springer
Source
Applied Reconfigurable Computing. Architectures, Tools, and Applications, 16th International Symposium, ARC 2020, Toledo, Spain, April 1–3, 2020, Proceedings, S. 211 - 220
ISBN
978-3-030-44533-1
978-3-030-44534-8
DOI
https://doi.org/10.1007/978-3-030-44534-8_16
Lecture Notes in Computer Science book series ; volume 12083

A Survey on Machine Learning Approaches to ECG Processing

Author(s)
Hoffmann, Javier Eduardo, Mahmood, Safdar, Suawa Fogou, Priscile, George, Nevin, Raha, Solaiman, Safi, Sabur, Schmailzl, Kurt JG, Brandalero, Marcelo, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2020
Source
2020 Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 23-25 Sept. 2020, Poznan, Poland
ISBN
978-83-62065-39-4
978-83-62065-37-0
978-1-7281-7746-5
URL
https://ieeexplore.ieee.org/document/9241283

Enabling Domain-Specific Architectures with an Open-Source Soft-Core GPGPU

Author(s)
Brandalero, Marcelo, Hernandez, Hector Gerardo Muñoz, Veleski, Mitko, Al Kadi, Muhammed, Rech, Paolo, Hübner, Michael
Type
Conference Proceeding no refering
Year of publication
2020
Source
2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), New Orleans, LA, 18-22 May 2020
ISBN
978-1-7281-7445-7
978-1-7281-7457-0
DOI
https://doi.org/10.1109/IPDPSW50202.2020.00015

A Management Technique for Concurrent Access to a Reconfigurable Accelerator

Author(s)
Silva, Raul, Korol, Guilherme dos Santos, Jordan, Michael Guilherme, Brandalero, Marcelo, Hübner, Michael, Pereira, Monica, Rutzig, Mateus Beck, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2020
Source
33rd Symposium on Integrated Circuits and Systems Design (SBCCI)
ISBN
978-1-7281-9625-1
978-1-7281-9626-8
DOI
https://doi.org/10.1109/SBCCI50935.2020.9189927

Investigating Floating-Point Implementations in a Softcore GPU under Radiation-Induced Faults

Author(s)
Gonçalves, Marcio M., Benevenuti, Fabio, Munoz-Hernandez, Hector Gerardo, Brandalero, Marcelo, Hübner, Michael, Kastensmidt, Fernanda, Azambuja, Jose Rodrigo
Type
Conference Proceeding refering
Year of publication
2020
Publisher name
Piscataway, NJ : IEEE
Source
27th IEEE International Conference on Electronics, Circuits and Systems (ICECS), virtual conference, November 23-25, 2020, S. 1 - 4
ISBN
978-1-7281-6044-3
978-1-7281-6045-0
DOI
https://doi.org/10.1109/ICECS49266.2020.9294939

Reactive current compensation method for PFC applications based on SOGI-PLL

Author(s)
Korte, Jan, Hübner, Michael
Type
Scientific Article ref.
Year of publication
2019
Source
VLSI Circuits and Systems Letter
Volume
5
Issue/Journal number
2

Configurable Fault Tolerant Circuits and System Level Integration for Self-Awareness

Author(s)
Segabinazzi Ferreira, Raphael, George, Nevin, Chen, Junchao, Hübner, Michael, Krstic, Milos, Nolte, Jörg, Vierhaus, Heinrich Theodor
Type
Conference Proceeding refering
Year of publication
2019
Publisher name
Kallithea, Chalkidiki (Greece) : SEA-Publications
Source
Proceedings of the Work in Progress Session held in connection with SEAA 2019, the 45th EUROMICRO Conference on Software Engineering and Advanced Applications and DSD 2019, the 22nd EUROMICRO Conference on Digital System Design
ISBN
978-3-902457-54-7
DOI
https://doi.org/10.26127/BTUOpen-5050

RESCUE: Interdependent Challenges of Reliability, Security and Quality in Nanoelectronic Systems

Author(s)
Jenihhin, Maksim, Hamdioui, Said, Sonza-Reorda, Matteo, Krstic, Milos, Langendörfer, Peter, Sauer, Christian, Klotz, Anton, Hübner, Michael, Nolte, Jörg, Vierhaus, Heinrich Theodor, Selimis, Georgions, Alexandrescu, Dan, Taouil, Mottaqiallah, Schrijen, Geert-Jan, Sterpone, Luca, Squillero, Giovanni, Dyka, Zoya, Raik, Jaan
Type
Scientific Article ref.
Year of publication
2019
Source
ArXiv.org
URL
https://arxiv.org/abs/1912.01561

Low Leakage Highly Stable Robust Ultra Low Power 8T SRAM Ce

Author(s)
Gupta, Neha, Gupta, Tanisha, Khan, Sajid, Vishwakarma, Abhinav, Vishvakarma, Santosh Kumar
Type
Conference Proceeding no refering
Year of publication
2019
Publisher name
Singapore : Springer
Source
VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4–6, 2019, Revised Selected Papers, S. 643 - 654
ISBN
978-981-32-9766-1
978-981-32-9767-8
DOI
https://doi.org/10.1007/978-981-32-9767-8_53

Dual-Edge Triggered Light Weight Implementation of AES for IoT Security

Author(s)
Khan, Sajid, Gupta, Neha, Vishwakarma, Abhinav, Chouhan, Shailesh Singh, Pandey, Jai Gopal, Vishvakarma, Santosh Kumar
Type
Conference Proceeding no refering
Year of publication
2019
Publisher name
Singapore : Springer
Source
VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4–6, 2019, Revised Selected Papers, S. 298 - 307
ISBN
978-981-32-9766-1
978-981-32-9767-8
DOI
https://doi.org/10.1007/978-981-32-9767-8_26
Communications in Computer and Information Science book series ; volume 1066

Compact Spiking Neural Network System with SiGe based Cylindrical Tunneling Transistor for Low Power Applications

Author(s)
Beohar, Ankur, Gopal, Raut, Rajput, Gunjan, Vishwakarma, Abhinav, Ambika Prasad, Shah, Bhupendra, Singh Renewa, Vishvakarma, Santosh Kumar
Type
Conference Proceeding no refering
Year of publication
2019
Publisher name
Singapore : Springer
Source
VLSI Design and Test : 23rd International Symposium, VDAT 2019, Indore, India, July 4–6, 2019, Revised Selected Papers, S. 655 - 663
ISBN
978-981-32-9766-1
978-981-32-9767-8
DOI
https://doi.org/10.1007/978-981-32-9767-8_54
Communications in Computer and Information Science book series ; volume 1066

Predicting performance in multi-core systems with shared reconfigurable accelerators

Author(s)
Brandalero, Marcelo, Souto, Thiago Dadalt, Carro, Luigi, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2019
Publisher name
Elsevier
Source
Journal of Systems Architecture, S. 201 - 213
DOI
https://doi.org/10.1016/j.sysarc.2019.07.010

TransRec: Improving Adaptability in Single-ISA Heterogeneous Systems with Transparent and Reconfigurable Acceleration

Author(s)
Brandalero, Marcelo, Shafique, Muhammad, Carro, Luigi, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2019
Source
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), S. 582 - 585
ISBN
978-3-9819263-2-3
978-3-9819263-3-0
978-1-7281-0331-0
DOI
https://doi.org/10.23919/DATE.2019.8715121

Improving Software-based Techniques for Soft Error Mitigation in OoO Superscalar Processors

Author(s)
Cardoso, Douglas Maciel, Tonetto, Rafael Billig, Brandalero, Marcelo, Agostini, Luciano, Nazar, Gabriel L., Azambuja, José Rodrigo, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2019
Source
2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), S. 201 - 204
ISBN
978-1-7281-0996-1
978-1-7281-0997-8
DOI
https://doi.org/10.1109/ICECS46596.2019.8964749

Power-Aware Phase Oriented Reconfigurable Architecture

Author(s)
Korol, Guilherme dos Santos, Jordan, Michael Guilherme, Brandalero, Marcelo, Rutzig, Mateus Beck, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2019
Source
2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), S. 626 - 629
ISBN
978-1-7281-0996-1
978-1-7281-0997-8
DOI
https://doi.org/10.1109/ICECS46596.2019.8965011

A Runtime Power-Aware Phase Predictor for CGRAs

Author(s)
Korol, Guilherme dos Santos, Jordan, Michael Guilherme, Silva, Raul Silveira, Pereira, Monica Magalhães, Brandalero, Marcelo, Rutzig, Mateus Beck, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2019
Source
2019 International Conference on ReConFigurable Computing and FPGAs (ReConFig), S. 1 - 8
ISBN
978-1-7281-1957-1
978-1-7281-1958-8
DOI
https://doi.org/10.1109/ReConFig48160.2019.8994802

A Knapsack Methodology for Hardware-based DMR Protection against Soft Errors in Superscalar Out-of-Order Processors

Author(s)
Tonetto, Rafael Billig, Cardoso, Douglas Maciel, Brandalero, Marcelo, Agostini, Luciano, Nazar, Gabriel L., Azambuja, José Rodrigo, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2019
Source
2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC), S. 287 - 292
ISBN
978-1-7281-3915-9
978-1-7281-3916-6
DOI
https://doi.org/10.1109/VLSI-SoC.2019.8920350

Accelerating error-tolerant applications with approximate function reuse

Author(s)
Brandalero, Marcelo, Silveira, Leonardo Almeida da, Souza, Jeckson Dellagostin de, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2019
Source
Science of Computer Programming, S. 54 - 67
DOI
https://doi.org/10.1016/j.scico.2017.05.003

A Tool to Ease De­sign-Space-Ex­plo­ra­ti­on Using the Ten­si­li­ca LX7 ASIP

Author(s)
Fricke, Florian, Hoffmann, Javier Eduardo, Mahmood, Safdar, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2019
ASIP, LX7, Ten­si­li­ca
Source
CDN­Li­ve EMEA 2019
URL
https://www.esit.ruhr-uni-bochum.de/forschung/veroeffentlichungen/tool-ease-design-space-exploration-using-tensilica/

IP Core Identification in FPGA Configuration Files using Machine Learning Techniques

Author(s)
Mahmood, Safdar, Rettkowski, Jens, Shallufa, Arij, Hübner, Michael, Göhringer, Diana
Type
Conference Proceeding refering
Year of publication
2019
Source
IEEE 9th International Conference on Consumer Electronics, Berlin, 8-11 Sept. 2019
ISBN
978-1-7281-2745-3
978-1-7281-2775-0
DOI
https://doi.org/10.1109/ICCE-Berlin47944.2019.8966236

Inspection of Partial Bitstreams for FPGAs Using Artificial Neural Networks

Author(s)
Rettkowski, Jens, Mahmood, Safdar, Shallufa, Arij, Hübner, Michael, Göhringer, Diana
Type
Conference Proceeding refering
Year of publication
2019
Source
IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)
ISBN
978-1-7281-3510-6
978-1-7281-3511-3
DOI
https://doi.org/10.1109/IPDPSW.2019.00023

Automatic Tool-Flow for Mapping Applications to an Application-Specific CGRA Architecture

Author(s)
Fricke, Florian, Werner, André, Shahin, Keyvan, Werner, Florian, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2019
Source
IEEE International Symposium on Parallel and Distributed Processing, Workshops and Phd Forum (IPDPSW), S. 147 - 154
ISBN
978-1-7281-3510-6
978-1-7281-3511-3
DOI
https://doi.org/10.1109/IPDPSW.2019.00033

A Particle Detector Based on Pulse Stretching Inverter Chain

Author(s)
Andjelkovic, Marko, Veleski, Mitko, Chen, Junchao, Simevski, Aleksandar, Krstic, Milos
Type
Conference Proceeding refering
Year of publication
2019
Source
26th IEEE International Conference on Electronics, Circuits and Systems (ICECS 2019), Genova
ISBN
978-1-7281-0996-1
978-1-7281-0997-8
DOI
https://doi.org/10.1109/ICECS46596.2019.8964644

From Fault Tolerance to Error Resilience: Co-Designing for Self-Awareness

Author(s)
George, Nevin, Hübner, Michael, Vierhaus, Heinrich Theodor
Type
Conference Proceeding refering
Year of publication
2019
Source
Proc. PhD Forum of the 9th BELAS Summer School, IHP, Frankfurt/Oder
URL
https://www.google.de/url?sa=t&rct=j&q=&esrc=s&source=web&cd=2&cad=rja&uact=8&ved=2ahUKEwjs5unQ-MvoAhWSUBUIHWVeBFIQFjABegQIAxAB&url=https%3A%2F%2Fwww.ihp-microelectronics.com%2Fdownloads%2F3616%2FBELAS19_paper_17.pdf&usg=AOvVaw2Na-b6H-YWFoZRCLRWzzYs

A Fire-Detection and Control System in Automobiles: Implementing a Design That Uses Fuzzy Logic to Anticipate and Respond

Author(s)
Sowah, Robert A., Ampadu, Kwame Owusu, Ofoli, Abdul R., Koumadi, Koudjo, Mills, Godfrey A., Nortey, Joseph
Type
Conference Proceeding refering
Year of publication
2019
Source
IEEE Industry Applications Magazine
DOI
https://doi.org/10.1109/MIAS.2018.2875189

A Programmable Error-Predictive In-Situ Delay Monitor for Adaptive Low Power and Error-Resilient Complex System-On-Chip

Author(s)
Veleski, Mitko, Kraemer, Rolf, Krstic, Milos
Type
Conference Proceeding refering
Year of publication
2019
Source
9th Biannual European - Latin American Summer School on Design, Test and Reliability (BELAS 2019), Frankfurt/Oder
URL
https://www.ihp-microelectronics.com/en/research/wireless-systems-and-applications/publications/publications-2019.html

Programmable In-Situ Delay Monitor for Energy-Efficient and Resilient Complex SoC

Author(s)
Veleski, Mitko, Kraemer, Rolf, Krstic, Milos
Type
Conference Proceeding refering
Year of publication
2019
Source
Proc. 24th IEEE European Test Symposium: PhD Forum (ETS 2019)
URL
https://www.ihp-microelectronics.com/en/research/wireless-systems-and-applications/publications/publications-2019.html

Automatic Toolflow for VCGRA Generation to Enable CGRA Evaluation for Arithmetic Algorithms

Author(s)
Werner, André, Fricke, Florian, Shahin, Keyvan, Werner, Florian, Hübner, Michael
Editor(s)
Hochberger, Christian, Nelson, Brent, Koch, Andreas, Woods, Roger, Diniz, Pedro C.
Type
Scientific Article not ref.
Year of publication
2019
Publisher name
Cham : Springer
Source
Applied Reconfigurable Computing, 15th International Symposium, ARC 2019, Darmstadt, Germany, April 9–11, 2019, Proceedings, S. 277 - 291
ISSN
0302-9743
1611-3349
ISBN
978-3-030-17226-8
978-3-030-17227-5
DOI
https://doi.org/0.1007/978-3-030-17227-5_20
Lecture Notes in Computer Science book series ; volume 11444
Theoretical Computer Science and General Issues book sub series ; volume 11444

BRAM-based function reuse for multi-core architectures in FPGAs

Author(s)
Exenberger Becker, Pedro H., Sartor, Anderson L., Brandalero, Marcelo, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2019
Source
Microprocessors and Microsystems, S. 237 - 248
DOI
https://doi.org/10.1016/j.micpro.2018.09.007

General-Purpose Computing with Soft GPUs on FPGAs

Author(s)
Al Kadi, Muhammed Soubhi, Janßen, Benedikt, Mori Alves da Silva, Jones Yudi, Hübner, Michael
Type
Scientific Article ref.
Year of publication
2018
Source
ACM Transactions on Reconfigurable Technology and Systems
Volume
11
Issue/Journal number
1
ISSN
1936-7406
DOI
https://doi.org/10.1145/3173548

A Survey on Formal Verification Techniques for Safety-Critical Systems-on-Chip

Author(s)
Grimm, Tomas, Hübner, Michael, Lettnin, Djones
Type
Scientific Article ref.
Year of publication
2018
Source
Electronics
Volume
7
Issue/Journal number
6
ISSN
2079-9292
DOI
https://doi.org/10.3390/electronics7060081

Optimal Dependability and Fine Granular Error Resilience Methodology for Reconfigurable Systems

Author(s)
Hosseinzadeh, Farnoosh, Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
Conference Proceeding refering
Year of publication
2018
Publisher name
Piscataway, NJ : IEEE
Source
21st Euromicro Conference on Digital System Design, DSD 2018, 29-31 August 2018, Prague, Czech Republic, proceedings, S. 206 - 213
ISBN
978-1-5386-7377-5
DOI
https://doi.org/10.1109/DSD.2018.00048

RESCUE: Cross-Sectoral PhD Training Concept for Interdependent Reliability, Security and Quality

Author(s)
Vierhaus, Heinrich Theodor, Jenihhin, Maksim, Sonza-Reorda, Matteo
Type
Conference Proceeding refering
Year of publication
2018
Publisher name
Piscataway, NJ : IEEE
Source
2018 Proceedings of the 12th European Workshop on Microelectronics Education (EWME), Braunschweig, 24-26 Sept. 2018, S. 45 - 50
ISBN
978-1-5386-9114-4
DOI
https://doi.org/10.1109/EWME.2018.8629465

A Microwave Triple-Band Bandpass Filter using parallel Stepped Impedance Resonator

Author(s)
Vishwakarma, Abhinav, Tripathi, G. S.
Type
Conference Proceeding refering
Year of publication
2018
Source
International Journal of creative Research, S. 1217 - 1219
URL
http://ijcrt.org/viewfull.php?&p_id=IJCRT1802595

Employing classification-based algorithms for general-purpose approximate computing

Author(s)
Oliveira, Geraldo Francisco, Gonçalves, Larissa Rozales, Brandalero, Marcelo, Beck, Antonio Carlos Schneider, Carro, Luigi
Type
Conference Proceeding refering
Year of publication
2018
Source
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), S. 701 - 706
ISBN
978-1-5386-4114-9
978-1-5386-4115-6
DOI
https://doi.org/10.1109/DAC.2018.8465822

Approximate on-the-fly coarse-grained reconfigurable acceleration for general-purpose applications

Author(s)
Brandalero, Marcelo, Carro, Luigi, Beck, Antonio Carlos Schneider, Shafique, Muhammad
Type
Conference Proceeding refering
Year of publication
2018
Source
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), S. 1601 - 1606
ISBN
978-1-5386-4114-9
978-1-5386-4115-6
DOI
https://doi.org/10.1109/DAC.2018.8465930

Efficient Local Memory Support for Approximate Computing

Author(s)
Brandalero, Marcelo, Malfatti, Guilherme Meneguzzi, Oliveira, Geraldo Francisco, Silveira, Leonardo Almeida da, Gonçalves, Larissa Rozales, Da Silva, Bruno Castro, Carro, Luigi, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2018
Source
2018 VIII Brazilian Symposium on Computing Systems Engineering (SBESC), S. 122 - 129
ISBN
978-1-7281-0240-5
978-1-7281-0241-2
DOI
https://doi.org/10.1109/SBESC.2018.00026

Towards a Safety and Energy Aware protocol for Wireless Communication

Author(s)
Hoffmann, Javier Eduardo, Kuschnerus, Dirk, Jones, Trevor, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2018
Publisher name
IEEE : Piscataway, NJ
Source
13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Lille
ISBN
978-1-5386-7957-9
978-1-5386-7958-6
DOI
https://doi.org/10.1109/ReCoSoC.2018.8449380

AIDS: An ICT model for integrating teaching, learning and research in Technical University Education in Ghana

Author(s)
Asabere, Nana Yaw, Togo, Gilbert, Acakpovi, Amevi, Torgby, Wisdom Kwawu, Ampadu, Kwame Owusu
Type
Conference Proceeding refering
Year of publication
2018
Source
The International Journal of Education and Development using Information and Communication Technology, S. 162 - 183
URL
http://ijedict.dec.uwi.edu/search.php?op=authorDetail&id=3554

The Effects of Voltage Scaling on Reliability and Power Consumption in Multiprocessor Systems

Author(s)
Veleski, Mitko, Kraemer, Rolf, Krstic, Milos
Type
Conference Proceeding refering
Year of publication
2018
Source
Proc. 30. GI/GMM/ITG-Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2018), 38 (2018)
URL
https://www.ihp-microelectronics.com/en/research/wireless-systems-and-applications/publications/publications-2018.html

Design of an efficient Communication Architecture for Cyber-Physical Production Systems

Author(s)
Kästner, Florian, Kuschnerus, Dirk, Spiegel, Christoph, Janßen, Benedikt, Hübner, Michael
Type
conference publication
Year of publication
2018
Publisher name
Piscataway, NJ : IEEE
Source
14th International Conference on Automation Science and Engineering (CASE) Munich, Germany, August 20-24, 2018, S. 829 - 835
ISBN
978-1-5386-3593-3
URL
https://ieeexplore.ieee.org/document/8560563
DOI
https://doi.org/10.1109/COASE.2018.8560563

Hardware/Software Codesign for Convolutional Neural Networks exploiting Dynamic Partial Reconfiguration on PYNQ

Author(s)
Kästner, Florian, Janßen, Benedikt, Kautz, Frederik, Hübner, Michael, Corradi, Giulio
Type
conference publication
Year of publication
2018
Publisher name
Piscataway, NJ : IEEE
Source
32nd International Parallel and Distributed Processing Symposium workshops, IPDPSW 2018, proceedings, 21-25 May 2018, Vancouver, British Columbia, Canada, S. 154 - 161
ISBN
978-1-5386-5555-9
URL
https://ieeexplore.ieee.org/abstract/document/8425398

A Dynamic Partial Reconfigurable Overlay Framework for Python

Author(s)
Janßen, Benedikt, Kästner, Florian, Wingender, Tim, Hübner, Michael
Editor(s)
Voros, Nikolaos, Hübner, Michael, Keramidas, Georgios, Göhringer, Diana, Antonopoulos, Christos, Diniz, Pedro C.
Type
conference publication
Year of publication
2018
Publisher name
Cham : Springer
Source
Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018, S. 331 - 342
ISBN
978-3-319-78889-0
978-3-319-78890-6
Lecture Notes in Computer Science ; 10824

Exploring Deep Neural Networks for Regression Analysis

Author(s)
Janßen, Benedikt, Kästner, Florian, Kautz, Frederik, Hübner, Michael
Editor(s)
Hübner, Michael, Rückemann, Claus-Peter
Type
conference publication
Year of publication
2018
Publisher name
[Wilmington] : IARIA
Source
PESARO 2018, the Eighth International Conference on Performance, Safety and Robustness in Complex Systems and Applications, April 22-26, 2018, Athens, Greece /
ISBN
978-1-61208-628-6

Runtime Adaptive Cache for the LEON3 Processor

Author(s)
Guzman, Osvaldo Navarro, Hübner, Michael
Editor(s)
Voros, Nikolaos, Hübner, Michael, Keramidas, Georgios, Göhringer, Diana, Antonopoulos, Christos, Diniz, Pedro C.
Type
conference publication
Year of publication
2018
Publisher name
Cham : Springer
Source
Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018, S. 343 - 354

CGRA Tool Flow for Fast Run-Time Reconfiguration

Author(s)
Fricke, Florian, Werner, André, Shahin, Keyvan, Hübner, Michael
Editor(s)
Voros, Nikolaos, Hübner, Michael, Keramidas, Georgios, Göhringer, Diana, Antonopoulos, Christos, Diniz, Pedro C.
Type
conference publication
Year of publication
2018
Publisher name
Cham : Springer
Source
Proceedings, 14th International Symposium on Applied Reconfigurable Computing, Santorini, Greece, May 2-4, 2018, S. 661 - 672
ISBN
978-3-319-78889-0
978-3-319-78890-6
DOI
https://doi.org/10.1007/978-3-319-78890-6_53

A Low-Cost BRAM-Based Function Reuse for Configurable Soft-Core Processors in FPGAs

Author(s)
Exenberger Becker, Pedro H., Sartor, Anderson L., Brandalero, Marcelo, Jost, Tiago Trevisan, Wong, Stephan, Carro, Luigi, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2018
Publisher name
Cham : Springer
Source
Applied Reconfigurable Computing. Architectures, Tools, and Applications14th International Symposium, ARC 2018, Santorini, Greece, May 2-4, 2018, Proceedings, S. 499 - 510
ISBN
978-3-319-78889-0
978-3-319-78890-6
DOI
https://doi.org/10.1007/978-3-319-78890-6_40

An Application Specific Framework for HLS-based FPGA Design of Articulated Robot Inverse” Kinematics

Author(s)
Mahmood, Safdar, Shydlouski, Pavel, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2018
Source
International Conference on ReConFigurable Computing and FPGAs (ReConFig)
ISBN
978-1-7281-1968-7
978-1-7281-1969-4
DOI
https://doi.org/10.1109/RECONFIG.2018.8641691

A Mechanism for energy-efficient reuse of decoding and scheduling of x86 instruction streams

Author(s)
Brandalero, Marcelo, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2017
Source
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, S. 1468 - 1473
ISBN
978-3-9815370-8-6
978-3-9815370-9-3
978-1-5090-5826-6
DOI
https://doi.org/10.23919/DATE.2017.7927223

Analysis of Hardware Implementations to Accelerate Convolutional and Recurrent Neuronal Net­works

Author(s)
Kästner, Florian, Guzman, Osvaldo Navarro, Jan­ßen, Be­ne­dikt, Hoffmann, Javier Eduardo, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2017
Publisher name
IARIA
Source
In­ter­na­tio­nal Jour­nal on Ad­van­ces in Soft­ware, S. 308 - 323
URL
http://www.iariajournals.org/software/soft_v10_n34_2017_paged.pdf

A survey on CNN and RNN implementations

Author(s)
Hoffmann, Javier Eduardo, Guzman, Osvaldo Navarro, Kästner, Florian, Jan­ßen, Be­ne­dikt, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2017
Publisher name
Wilmington, DE, USA : IARIA
Source
PE­SA­RO 2017, The Seventh In­ter­na­tio­nal Con­fe­rence on Per­for­mance, Sa­fe­ty and Ro­bust­ness in Com­plex Sys­tems and Ap­p­li­ca­ti­ons, S. 33 - 39
ISBN
978-1-61208-549-4

A machine learning methodology for cache recommendation

Author(s)
Guzman, Osvaldo Navarro, Mori, Jones, Hoffmann, Javier Eduardo, Stuckmann, Fabian, Hübner, Michael
Type
Conference Proceeding refering
Year of publication
2017
Publisher name
Cham : Springer
Source
Applied Reconfigurable Computing : 13th International Symposium, ARC 2017, Delft, The Netherlands, April 3-7, 2017, Proceedings, S. 311 - 322
ISBN
978-3-319-56258-2
978-3-319-56257-5
DOI
https://doi.org/10.1007/978-3-319-56258-2_27
Lecture Notes in Computer Science book series ; volume 10216

A Microwave Dual-Band Bandpass Filter for L Band Application

Author(s)
Vishwakarma, Abhinav, Tripathi, G. S.
Type
Conference Proceeding refering
Year of publication
2017
Source
International Journal for Scientific Research & Development, S. 379 - 380
URL
http://ijsrd.com/Article.php?manuscript=IJSRDV5I20487

From online fault detection to fault management in Network-on-Chips: A ground-up approach

Author(s)
Azad, Siavoosh Payandeh, Niazmand, Behrad, Janson, Karl, George, Nevin, Oyeniran, Stephen Adeboye, Putkaradze, Tsotne, Kaur, Apneet, Raik, Jaan, Jervan, Gert, Ubar, Raimund, Hollstein, Thomas
Type
Conference Proceeding refering
Year of publication
2017
Source
IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Dresden, Germany, 19-21 April 2017
ISBN
978-1-5386-0472-4
978-1-5386-0473-1
DOI
https://doi.org/10.1109/DDECS.2017.7934565

An Overview of Cross-Layer Resilience Design Methods

Author(s)
Veleski, Mitko, Kraemer, Rolf, Krstic, Milos
Type
Conference Proceeding refering
Year of publication
2017
Source
RESCUE 2017, Limassol
URL
https://www.researchgate.net/publication/349116576_An_Overview_of_Cross-Layer_Resilience_Design_Methods

Automatic Generation of RTL Connectivity Checkers for Automotive Gateways from SystemC TLM Models

Author(s)
Grimm, Tomas, Lettnin, Djones, Hübner, Michael
Type
conference publication
Year of publication
2017
Publisher name
Piscataway, NJ : IEEE
Source
IEEE Nordic Circuits and Systems Conference, 1-2 November 2016, Copenhagen, Denmark
ISBN
978-1-5090-1095-0
DOI
https://doi.org/10.1109/NORCHIP.2016.7792922

Hoch-zuverlässige schnelle Encoder / Decoder für drahtlose Übertragungssysteme

Author(s)
Dicorato, Davide, Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Source
Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ 2017), 5.-7. März 2017, Lübeck
URL
https://www.researchgate.net/publication/317278669

Fast Power Overhead Prediction for Hardware Redundancy-based Fault Tolerance

Author(s)
Scharoba, Stefan, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Publisher name
Piscataway, NJ : IEEE
Source
IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), 3-5 July 2017, Hotel Makedonia Palace, Thessaloniki, Greece, S. 265 - 270
ISBN
978-1-5386-0351-2
978-1-5386-0352-9

Extended Hsiao-Code Error Correction Considering Double and Triple Bit Errors

Author(s)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Source
RESCUE 2017, Workshop on Reliability, Security and Quality, ETS17 Fringe Workshop, At Limassol, Cyprus
URL
https://www.researchgate.net/publication/317265493

On Comparison of Robust Configurable FPGA Encoders for Dependable Industrial Communication Systems

Author(s)
Pfeifer, Petr, Hosseinzadeh, Farnoosh, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Publisher name
Piscataway, NJ : IEEE
Source
IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), 3-5 July 2017, Thessaloniki, Greece, S. 199 - 200
ISBN
978-1-5386-0352-9

Forward Error Correction in Wireless Communication Systems for Industrial Applications

Author(s)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Publisher name
Piscataway, NJ : IEEE
Source
SPA 2017, Signal Processing: Algorithms, Architectures, Arrangements, and Applications, conference proceedings, Poznan, 20-22nd September 2017, S. 14
ISBN
978-83-62065-30-1

Forward Error Correction in Industrial Wireless Communication

Author(s)
Pfeifer, Petr, Gleichner, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Publisher name
Berlin : VDE Verlag
Source
Zuverlässigkeit und Entwurf, 9. ITG/GMM/GI-Fachtagung vom 18.-20. September 2017 in Cottbus
ISBN
978-3-8007-4444-2
ITG-Fachbericht ; 274

On Implementation and Usage of Muller C-element in FPGA-based Dependable Systems

Author(s)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Publisher name
Piscataway, NJ : IEEE
Source
22nd IEEE International Conference on Applied Electronics, Pilsen, 5-6 September 2017, S. 163 - 166
ISBN
978-80-261-0641-8
978-80-261-0642-5

Fault Detection and Self Repair in Hsiao-Code FEC Circuits

Author(s)
Dicorato, Davide, Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Publisher name
Piscataway, NJ : IEEE
Source
2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 19-21 April 2017, Dresden, Germany
ISBN
978-1-5386-0471-7
978-1-5386-0472-4

On Comparison of Configurable Encoders in Xilinx and Altera FPGAs

Author(s)
Pfeifer, Petr, Hosseinzadeh, Farnoosh, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2017
Publisher name
Piscataway, NJ : IEEE
Source
22nd 2017 International Conference on Applied Electronics, Pilsen, 5-6 September 2017, S. 159 - 162
ISBN
978-80-261-0642-5
978-80-261-0641-8
DOI
https://doi.org/10.23919/AE.2017.8053605

Tool flow for automatic generation of architectures and test-cases to enable the evaluati-on of CGRAs in the context of HPC applications

Author(s)
Fricke, Florian, Werner, André, Hübner, Michael
Type
conference publication
Year of publication
2017
Publisher name
Piscataway, NJ : IEEE
Source
Conference on Design and Architectures for Signal and Image Processing (DASIP), 27-29 Sept. 2017, Dresden, Germany
ISBN
978-1-5386-3534-6
URL
https://ieeexplore.ieee.org/document/8122124
DOI
https://doi.org/10.1109/DASIP.2017.8122124

Design and Implementation of Dual-Band BandpassFilter Using Tappered E Shaped Dual Mode Open Loop Resonator

Author(s)
Vishwakarma, Abhinav, Tripathi, G. S.
Type
Conference Proceeding refering
Year of publication
2017
Source
Global Research and Development Journal for Engineering, S. 183 - 186
URL
http://www.grdjournals.com/uploads/article/GRDJE/V02/I06/0046/GRDJEV02I060046.pdf

Non-Cyclic Design Space Exploration for ASIPS - Compiler-Centered Microprocessor Design (CoMet)

Author(s)
Urban, Roberto, Vierhaus, Heinrich Theodor, Schölzel, Mario, Altmann, Enrico, Seelig, Horst
Type
Scientific Article ref.
Year of publication
2016
Source
Journal of Circuits, Systems and Computers
Volume
25
Issue/Journal number
3
ISSN
0218-1266
1793-6454
DOI
https://doi.org/10.1142/S0218126616400120

FPGAs getting teeny! What can we expect from them?

Author(s)
Pfeifer, Petr
Editor(s)
Gleichner, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Publisher name
Stuttgart : Fraunhofer Verlag
Source
DASS 2016, Dresdner Arbeitstagung Schaltungs- und Systementwurf

Information Fusion of Conflicting Input Data

Author(s)
Mönks, Uwe, Dörksen, Helene, Lohweg, Volker, Hübner, Michael
Type
Scientific Article ref.
Year of publication
2016
Source
Sensors
Volume
16
Issue/Journal number
11
ISSN
1424-8220

A resampling method for parallel particle filter architectures

Author(s)
Schwiegelshohn, Fynn, Ossovski, Eugen, Hübner, Michael
Type
Scientific Article ref.
Year of publication
2016
Source
Microprocessors & Microsystems, S. 314 - 320
Volume
47, B
ISSN
0141-9331
DOI
https://doi.org/10.1016/j.micpro.2016.07.017

Tomografische Verfahren für intelligente Sensoren in der Prozessautomatisierung

Author(s)
Musch, Thomas, Hübner, Michael, Gebhardt, Patrick, Abrolat, Jan Christoph, Gevers, Martin, Vogt, Michael
Type
Scientific Article ref.
Year of publication
2016
Source
atp plus : das Magazin der Automatisierungstechnik : Sonderausgabe, S. 34 - 41
Volume
1
ISSN
2510-3911

The Potential of Accelerating Image-Processing Applications by Using Approximate Function Reuse

Author(s)
Silveira, Leonardo Almeida da, Brandalero, Marcelo, Souza, Jeckson Dellagostin de, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2016
Source
2016 VI Brazilian Symposium on Computing Systems Engineering (SBESC), S. 122 - 127
ISBN
978-1-5090-2653-1
978-1-5090-2654-8
DOI
https://doi.org/10.1109/SBESC.2016.026

A multiple-ISA reconfigurable architecture

Author(s)
Capella, Fernanda M., Brandalero, Marcelo, Carro, Luigi, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2016
Source
Special Issue on “Selected papers from the 2013 Brazilian Symposium on Computing Systems Engineering (SBESC 2013)”, S. 329 - 344

Design and implementation of a fire detection and control system for automobiles using fuzzy logic

Author(s)
Sowah, Robert A., Ampadu, Kwame Owusu, Ofoli, Abdul R., Koumadi, Koudjo, Mills, Godfrey A., Nortey, Joseph
Type
Conference Proceeding refering
Year of publication
2016
Source
IEEE Industry Application Society 52nd Annual Meeting : October 2-6, 2016, Portland, OR : conference record
ISBN
978-1-4799-8397-1
978-1-4799-8396-4
DOI
https://doi.org/10.1109/IAS.2016.7731880

Potential analysis of a superscalar core employing a reconfigurable array for improving instruction-level parallelism

Author(s)
Brandalero, Marcelo, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2016
Source
Special Issue on “SBESC Embedded Systems 2014”, S. 155 - 169
DOI
https://doi.org/10.1007/s10617-016-9174-4

Enabling indoor object localization through Bluetooth beacons on the RADIO robot platform

Author(s)
Schwiegelshohn, Fynn, Wehner, Philipp, Werner, Florian, Gohringer, Diana, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
Proceedings, 2016 International Conference on Embedded Computer Systems, Architectures, Modeling and Simulation (SAMOS XVI), July 17-21, 2016, Samos, Greece, S. 328 - 333
ISBN
978-1-5090-3076-7
DOI
https://doi.org/10.1109/SAMOS.2016.7818366

AutoReloc: Automated Design Flow for Bitstream Relocation on Xilinx FPGAs

Author(s)
Lalevée, André, Horrein, Pierre-Henri, Arzel, Matthieu, Hübner, Michael, Vaton, Sandrine
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
DSD 2016, proceedings, 19th Euromicro Conference in Digital System Design, 31 August-2 September 2016, Limassol, Cyprus, S. 14 - 21
ISBN
978-1-5090-2817-7
DOI
https://doi.org/10.1109/DSD.2016.92

Computation and Communication Challenges to Deploy Robots in Assisted Living Environments

Author(s)
Keramidas, Georgios, Antonopoulos, Christos, Voros, Nikolaos, Schwiegelshohn, Fynn, Wehner, Philipp, Rettkowski, Jens, Göhringer, Diana, Hübner, Michael, Konstantopoulos, Stasinos, Giannakopoulos, Theodore, Karkaletsis, Vangelis, Mariatos, Vaggelis
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
Design, Automation & Test in Europe Conference & Exhibition (DATE), 14-18 March 2016 Dresden, Germany, S. 888 - 893
ISBN
978-3-9815370-7-9
URL
https://ieeexplore.ieee.org/document/7459434

A Design Methodology for the Next Generation Real-Time Vision Processors

Author(s)
Mori Alves da Silva, Jones Yudi, Werner, André, Shallufa, Arij, Fricke, Florian, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Cham : Springer
Source
Applied reconfigurable computing, 12th international symposium, ARC 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, S. 14 - 25
ISBN
978-3-319-30480-9
978-3-319-30481-6
Lecture notes in computer science ; 9625

A rapid prototyping method to reduce the design time in commercial high-level synthesis tools

Author(s)
Mori Alves da Silva, Jones Yudi, Werner, André, Fricke, Florian, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA, S. 253 - 258
ISBN
978-1-5090-3682-0

Efficient Camera Input System and Memory Partition for a Vision Soft-Processor

Author(s)
Mori Alves da Silva, Jones Yudi, Kautz, Frederik, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Cham : Springer
Source
Applied reconfigurable computing, 12th international symposium, ARC 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, S. 328 - 333
ISBN
978-3-319-30480-9
978-3-319-30481-6
Lecture notes in computer science ; 9625

Enabling Dynamic Reconfiguration of Numerical Methods for the Robotic Motion Control Task

Author(s)
Schwiegelshohn, Fynn, Kästner, Florian, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA, S. 283 - 288
ISBN
978-1-5090-3682-0

Redesign of an Educational Robot Platform Using Web-based Programming

Author(s)
Fricke, Florian, Werner, André, Janßen, Benedikt, Hübner, Michael, Ribbe, Clemens, Cengizhan, Inac
Type
conference publication
Year of publication
2016
Publisher name
New York, NY : ACM
Source
Proceedings of the 2016 Workshop on Embedded and Cyber-Physical Systems Education, Pittsburgh, PA, USA - October 01-07, 2016
ISBN
978-1-4503-4657-3
DOI
https://doi.org/10.1145/3005329.3005332

FPGA design of numerical methods for the robotic motion control task exploiting high-level synthesis

Author(s)
Schwiegelshohn, Fynn, Kästner, Florian, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
IEEE International Conference on the Science of Electrical Engineering (ICSEE), 16-18 Nov. 2016, Eilat, Israel
ISBN
978-1-5090-2152-9
URL
https://ieeexplore.ieee.org/document/7806074

A Hardware/Software Co-Design Approach for Control Applications with Static Real-Time Reallocation

Author(s)
Janßen, Benedikt, Naserddin, Moataz, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
23rd Re­con­fi­gura­ble Ar­chi­tec­tu­res Work­shop (RAW 2016), Chi­ca­go, USA
ISBN
978-1-5090-3682-0

Development of Advanced Driver Assistance Systems using LabVIEW and a Car Simulator

Author(s)
Janßen, Benedikt, Wehner, Philipp, Göhringer, Diana, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
New York, NY : ACM
Source
Proceedings of the 2016 Workshop on Embedded and Cyber-Physical Systems Education, Pittsburgh, PA, USA - October 01-07, 2016
ISBN
978-1-4503-4657-3
DOI
https://doi.org/10.1145/3005329.3005330

A Dynamic Cache Reconfiguration Platform for Soft Real-Time Systems

Author(s)
Navarro, Osvaldo, Leiding, Tim, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
IEEE International Conference on Electronics, Circuits and Systems (ICECS), 11-14 Dec. 2016, Monte Carlo, Monaco, S. 388 - 391
ISBN
978-1-5090-6113-6
DOI
https://doi.org/10.1109/ICECS.2016.7841214

Multi-level parallelism analysis and system-level simulation for many-core vision processor design

Author(s)
Mori Alves da Silva, Jones Yudi, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
5th Mediterranean Conference on Embedded Computing (MECO), Bar, Montenegro, June 12th-16th, S. 90 - 95
ISBN
978-1-5090-2222-9
URL
https://ieeexplore.ieee.org/document/7525710

EXTRA: Towards the Exploitation of eXascale Technology for Reconfigurable Architectures

Author(s)
Stroobandt, Dirk, Al Kadi, Muhammed Soubhi, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
11th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoc), June 27-29, 2016, Tallinn, Estonia
ISBN
978-1-5090-2520-6
URL
https://ieeexplore.ieee.org/document/7533896

FGPU: An SIMT-Architecture for FPGAs

Author(s)
Al Kadi, Muhammed Soubhi, Janßen, Benedikt, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
New York, NY : ACM, Association for Computing Machinery
Source
FPGA '16 Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, California, USA — February 21 - 23, 2016, S. 254 - 263
ISBN
978-1-4503-3856-1
978-1-4503-4468-5

Integer Computations with Soft GPGPU on FPGAs

Author(s)
Al Kadi, Muhammed Soubhi, Hübner, Michael
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
Proceedings of the 2016 International Conference on Field-Programmable Technology (FPT), Dec. 07-09, 2016, Xi'an China
ISBN
978-1-5090-5602-6
URL
https://ieeexplore.ieee.org/document/7929185

Test and Error Correction in a Dependable Wireless Communication System

Author(s)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
BEC 2016, 15th Biennial Baltic Electronics Conference (BEC), Tallinn University of Technology, October 3-5, 2016 Tallinn, Estonia, S. 119 - 122
ISBN
978-1-5090-1392-0
978-1-5090-1393-7

An Interactive Design Space Exploration Tool for Dependable Integrated Circuits

Author(s)
Scharoba, Stefan, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
DSD 2016, proceedings, 19th Euromicro Conference in Digital System Design, 31 August-2 September 2016, Limassol, Cyprus, S. 714 - 717
ISBN
978-1-5090-2817-7

Flexible Test, Error Detection and Correction in Dependable Communication Systems incl. Results on 28nm Xilinx and Altera FPGAs

Author(s)
Pfeifer, Petr, Gleichner, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Source
2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Kosice, Slovakia, 2016, S. 26 - 31
ISBN
978-80-8086-256-5

ReSeCu4 AMBRAMs - Towards Increased Reliability and Hardware Security on Modern Nanoscale FPGAs

Author(s)
Pfeifer, Petr
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 14 – 18 March 2016 ICC, Dresden, Germany

Iterative Error Correction with Double / triple Error Detection

Author(s)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
Signal Processing: Algorithms, Architectures, Arrangements, and Applications (SPA), 21-23 Sept. 2016, Poznan, S. 14 - 19
ISBN
978-83-62065-25-7
978-83-62065-27-1

A New Area-efficient Reconfigurable Encoder Architecture for Flexible Error Detection and Correction in Dependable Communication Systems

Author(s)
Pfeifer, Petr, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
BEC 2016, 15th Biennial Baltic Electronics Conference (BEC), Tallinn University of Technology, October 3-5, 2016 Tallinn, Estonia, S. 87 - 90
ISBN
978-1-5090-1392-0
978-1-5090-1393-7

A Comprehensive Software-Based Self-Test and Self-Repair Method for Statically Scheduled Superscalar Processors

Author(s)
Schölzel, Mario, Koal, Tobias, Müller, Sebastian, Scharoba, Stefan, Röder, Stefanie, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
17th IEEE Latin-American Test Symposium - LATS 2016, S. 33 - 38
ISBN
978-1-5090-1331-9

Test of automotive embedded processors with high diagnostic resolution

Author(s)
Gleichner, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Kosice, Slovakia, 2016, S. 1 - 6
ISBN
978-80-8086-256-5

Prozessanlagenplanung 2.0 Netzarchitektur aus Vefahrensbeschreibung ableiten

Author(s)
Glock, Thomas, Kern, Matthias, Otten, Stefan, Sax, Eric, Hillenbrand, Martin, Hübner, Michael
Type
Scientific Article ref.
Year of publication
2016
Source
atp edition, S. 28 - 39
Volume
58
Issue/Journal number
10
ISSN
2190-4111

IDDD: An Interactive Dependability Driven Design Space Exploration

Author(s)
Scharoba, Stefan, Lorenz, Jacob, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2016
Publisher name
Piscataway, NJ : IEEE
Source
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 14 – 18 March 2016 ICC, Dresden, Germany
ISBN
978-3-9815370-6-2

Towards a Perspective of the Role of Mathematics in Computer Science and Engineering (CSE) Education

Author(s)
Asabere, Nana Yaw, Acakpovi, Amevi, Torgby, Wisdom Kwawu, Mends-Brew, Edwin, Ampadu, Kwame Owusu
Type
Conference Proceeding refering
Year of publication
2016
Publisher name
London : Sysbase Solution
Source
International Journal of Computer Science and Telecommunications, S. 5 - 9
URL
https://www.ijcst.org/Volume7/Issue1.html

A Multi-Layer Software Based Fault-Tolerance Approach for Heterogenous Multi-Core Systems

Author(s)
Schölzel, Mario, Koal, Tobias, Scharoba, Stefan, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2015
Publisher name
Piscataway, NJ : IEEE
Source
16th Latin-American Test Symposium (LATS 2015), Puerto Vallarta, Mexico, 25-27 March 2015, S. 1 - 6
ISBN
978-1-4673-6710-3

Exploring diagnostic capabilities of software-based self-tests for production and in-field application

Author(s)
Koal, Tobias, Scharoba, Stefan, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2015
Publisher name
Piscataway, NJ : IEEE
Source
Proceedings of the 2015 IEEE International Workshop of Electronics, Control, Measurement, Signals and Their Application to Mechatronics (ECMSM), June 22-24, 2015, Liberec, Czech Republic, S. 1 - 6
ISBN
978-1-4799-6970-8
978-1-4799-6973-9

Redundancy evaluation process of processor components for permanent fault compensation

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2015
Publisher name
Piscataway, NJ : IEEE
Source
2015 NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2015), Montréal, Quebec, Canada, 15-18 June 2015, S. 1 - 6
ISBN
978-1-4673-7501-6
978-1-4673-7502-3

Smarte Sensoren in der Feldebene

Author(s)
Glock, Thomas, Hillenbrand, Martin, Hübner, Michael
Type
Scientific Article ref.
Year of publication
2015
Source
atp edition, S. 32 - 42
Volume
57
Issue/Journal number
11
ISSN
2364-3137

Automating the Evaluation of Design Choices for Dependable Integrated Circuits

Author(s)
Scharoba, Stefan, Vierhaus, Heinrich Theodor
Type
Conference Proceeding refering
Year of publication
2015
Source
MEDIAN Finale - Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN 2015)
URL
http://www.median-project.eu/wp-content/uploads/MEDIAN-Finale-p7.pdf

Correcting Delay Faults and Transient Faults in Pipelines

Author(s)
Scharoba, Stefan, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
Conference Proceeding refering
Year of publication
2015
Source
8. GMM/ITG/GI-Symposium Reliability by Design, Siegen, Germany, 21-23 Sept. 2015, S. 7
ISBN
978-3-8007-4071-0

A framework to the design and programming of many-core focal-plane vision processors

Author(s)
Mori Alves da Silva, Jones Yudi, Llanos, Carlos, Hübner, Michael
Type
conference publication
Year of publication
2015
Publisher name
Piscataway, NJ : IEEE
Source
IEEE 13th International Conference on Embedded and Ubiquitous Computing, 21-23 Oct. 2015, Porto, Portugal, S. 193 - 198
ISBN
978-1-4673-8299-1
URL
https://ieeexplore.ieee.org/document/7363638

Erzeugung diagnostischer Testmuster unter komplexen Contraints

Author(s)
Koal, Tobias, Eggersglüß, S., Schölzel, Mario
Type
conference publication
Year of publication
2015
Publisher name
Reutlingen : Robert Bosch GmbH
Source
Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 27. GI/GMM/ITG-Workshop, 1.-3. März 2015,

Towards an Interactive Dependability-Aware Design Space Exploration

Author(s)
Scharoba, Stefan, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2015
Publisher name
Linz : Johannes Kepler Universität
Source
Proceedings of the work in progress session held in connection with SEAA 2015, the 41st EUROMICRO Conference on Software Engineering and Advanced Applications and DSD 2015, the 18th EUROMICRO Conference on Digital System Design, Funchal, Madeira
ISBN
978-3-902457-44-8

Combined Detection and Correction of Transient Faults and Delay Faults

Author(s)
Scharoba, Stefan, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2015
Publisher name
Berlin : VDE-Verlag
Source
ZuE 2015, Zuverlässigkeit und Entwurf, Beiträge der 8. GMM/ITG/GI-Fachtagung 21. – 23. September 2015 in Siegen
ISBN
978-3-8007-4071-0

Error Resilience in Digital Integrated Circuits

Author(s)
Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2015
Publisher name
Piscataway, NJ : IEEE
Source
Proceedings of the 2015 IEEE International Workshop of Electronics, Control, Measurement, Signals and Their Application to Mechatronics (ECMSM), June 22-24, 2015, Liberec, Czech Republic
ISBN
978-1-4799-6970-8

Test eingebetteter Prozessoren im Zielsystem mit hoher diagnostischer Auflösung

Author(s)
Gleichner, Christian, Vierhaus, Heinrich Theodor
Editor(s)
Cunningham, Douglas W., Hofstedt, Petra, Meer, Klaus, Schmitt, Ingo
Type
conference publication
Year of publication
2015
Publisher name
Bonn : Gesellschaft für Informatik
Source
Informatik 2015, Tagung vom 28. September – 2. Oktober 2015 in Cottbus, S. 1399 - 1414
ISBN
978-3-88579-640-4
GI-Edition : Lecture Notes in Informatics ; 246

Detection and Correction of Logic Errors Using Extra Time Slots

Author(s)
Dicorato, Davide, Vierhaus, Heinrich Theodor
Editor(s)
Cunningham, Douglas W., Hofstedt, Petra, Meer, Klaus, Schmitt, Ingo
Type
conference publication
Year of publication
2015
Publisher name
Bonn : Gesellschaft für Informatik
Source
Informatik 2015, Tagung vom 28. September – 2. Oktober 2015 in Cottbus, S. 1431 - 1444
ISBN
978-3-88579-640-4
GI-Edition : Lecture Notes in Informatics ; 246

Compiler-Centred Microprocessors Design (CoMet) - From C-Code to a VHDL Model of an ASIP

Author(s)
Urban, Roberto, Schölzel, Mario, Vierhaus, Heinrich Theodor, Altmann, Enrico, Seelig, Horst
Type
conference publication
Year of publication
2015
Publisher name
Piscataway, NJ : IEEE
Source
18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015, 22-24 April 2015, Belgrade, Serbia, proceedings, S. 17 - 22
ISBN
978-1-4799-6779-7
978-1-4799-6780-3

Combining Correction of Delay Faults and Transient Faults

Author(s)
Koal, Tobias, Scharoba, Stefan, Vierhaus, Heinrich Theodor
Type
Scientific Article not ref.
Year of publication
2015
Publisher name
Piscataway, NJ : IEEE
Source
18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2015, S. 99 - 102
ISBN
978-1-4799-6780-3

Systematic Generation of Diagnostic Software-Based Self-Test Routines for Processor Components

Author(s)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Publisher name
IEEE
Source
19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn
ISBN
978-1-4799-3415-7

Potential of Using a Reconfigurable System on a Superscalar Core for ILP Improvements

Author(s)
Brandalero, Marcelo, Beck, Antonio Carlos Schneider
Type
Conference Proceeding refering
Year of publication
2014
Source
2014 Brazilian Symposium on Computing Systems Engineering, S. 43 - 48
ISBN
978-1-4799-8559-3
DOI
https://doi.org/10.1109/SBESC.2014.19

Timing for Virtual TMR in Logic Circuits

Author(s)
Müller, Sebastian, Koal, Tobias, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Source
IOLTS 2014, 20th IEEE International On-Line Testing Symposium Hotel Cap Roig, Platja d'Aro, Catalunya, Spain July 7-9, 2014
ISBN
978-1-4799-5324-0

Combining Fault Tolerance and Self Repair at Minimum Cost in Power and Hardware

Author(s)
Koal, Tobias, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Publisher name
IEEE CS Press
Source
IEEE International Symposium DDECS 2014, Warschau, S. 153 - 159
ISBN
978-1-4799-4560-3

Vergleich der Beschreibung und Simulation einer Befehlssatzarchitektur in LISA und CoMet

Author(s)
Urban, Roberto, Lehniger, Kai, Heyne, Maximilian, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Publisher name
Göttingen : Cuvillier Verlag
Source
MBMV 2014, Böblingen, Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, S. 101 - 112
ISBN
978-3-95404-637-9

Reconfigurable High Performance Architectures

Author(s)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Publisher name
IEEE
Source
19th IEEE European Test Symposium (ETS), 26 May - 30 May 2014, Paderborn
ISBN
978-1-4799-3415-7

Entwicklungsumgebung für den compilerzentrierten Mikroprozessorentwurf (CoMet)

Author(s)
Urban, Roberto, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Publisher name
Stuttgart : Fraunhofer Verlag
Source
DASS 2014, Dresdner Arbeitstagung Schaltungs- und Systementwurf, Tagungsband
ISBN
978-3-8396-0738-1

Probleme bei Erzeugung und simulationsbasierten Validierung softwarebasierter Selbsttests zur feingranularen Diagnose

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Publisher name
Stuttgart : Fraunhofer Verlag
Source
DASS 2014, Dresdner Arbeitstagung Schaltungs- und Systementwurf, Tagungsband
ISBN
978-3-8396-0738-1

Diagnostics self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults

Author(s)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Publisher name
Piscataway, NJ : IEEE
Source
International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2014), Amsterdam, Netherlands, 1 - 3 October 2014, S. 27 - 32
ISBN
978-1-4799-6155-9
978-1-4799-6156-6

On reliability Estimation for Combined Transient and Permanent Fault Handling

Author(s)
Scharoba, Stefan, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2014
Publisher name
Piscataway, NJ : IEEE
Source
14th Biennial Baltic Electronic Conference (BEC), Tallinn, Estonia, 6-8 October 2014, S. 73 - 76
ISBN
978-1-4673-9539-7
978-1-4673-9540-3

A Multiple-ISA Reconfigurable Architecture

Author(s)
Capella, Fernanda M., Brandalero, Marcelo, Junior, Jair Fajardo, Beck, Antonio Carlos Schneider, Carro, Luigi
Type
Conference Proceeding refering
Year of publication
2013
Source
2013 III Brazilian Symposium on Computing Systems Engineering (SBESC), Dec. 4 2013 to Dec. 8 2013, Niteroi, Rio De Janeiro, Brazil, S. 71 - 76
DOI
https://doi.org/10.1109/SBESC.2013.23

Kombinierte On-Line-Fehlerkompensation und Selbstreparatur für Logik-Baugruppen

Author(s)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2013
Publisher name
Dresden : Fraunhofer-Institut
Source
Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 25. GI/GMM/ITG-Workshop, 24. bis 26. Februar 2013, Dresden

Virtual TMR Schemes Combining Fault Tolerance and Self Repair

Author(s)
Koal, Tobias, Ulbricht, Markus, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2013
Publisher name
IEEE
Source
16th IEEE International Euromicro Conference on Digital System Design, DSD 2013, 4-6 Sep 2013, Santander, Spain, S. 235 - 242
ISBN
978-0-7695-5074-9

Towards a Graceful Degradable Multicore-System by hierarchical Handling of Hard Errors

Author(s)
Müller, Sebastian, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2013
Publisher name
Piscataway : IEEE
Source
DaRMuS 2013, Special Session on Dynamic and Reliable Multicore Systems, Hosted by 21st EuromicroPDP Conference, S. 302 - 309
ISBN
978-146-735-321-2

Compilerzentrierter Mikroprozessor

Author(s)
Urban, Roberto, Schölzel, Mario, Vierhaus, Heinrich Theodor
Editor(s)
Dietrich, Manfred
Type
conference publication
Year of publication
2013
Publisher name
Stuttgart : Fraunhofer-Verl.
Source
Tagungsband Dredner Arbeitstagung für Schaltungs- und Systementwurf (DASS 2013)
ISBN
978-3-8396-0545-5

Ein konfigurierbarer Zwischencodesimulator zum compilerzentrierten Mikroprozessorentwurf

Author(s)
Urban, Roberto, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2013
Publisher name
Rostock : Univ., ITMZ
Source
Tagungsband Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV 2013)

On-Line-Test, Fehlerkorrektur znd Selbstreparatur mit Time-Shared TMR

Author(s)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Editor(s)
Dietrich, Manfred
Type
conference publication
Year of publication
2013
Publisher name
Stuttgart : Fraunhofer-Verl.
Source
Tagungsband Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), April 2013
ISBN
978-3-8396-0545-5

On the Feasibility of Combining On-Line-Test and Self Repair for Logic Circuits

Author(s)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Editor(s)
Sekanina, Lukas
Type
conference publication
Year of publication
2013
Publisher name
IEEE Computer Society
Source
16th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2013), Karlovny Vary, April 2013
ISBN
978-1-4673-6135-4

Towards an Automatic Generation of Diagnostic In-Field SBST for Processor Components

Author(s)
Schölzel, Mario, Koal, Tobias, Röder, Stephanie, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2013
Publisher name
Piscataway : IEEE
Source
Proceedings, 14th IEEE Latin American Test Workshop (LATW 2013)

Combining On-Line Fault Detection and Logic Self Repair

Author(s)
Koal, Tobias, Ulbricht, Markus, Vierhaus, Heinrich Theodor
Editor(s)
Raik, Jaan
Type
conference publication
Year of publication
2012
Publisher name
Piscataway, NJ : IEEE
Source
IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2012), Tallin, Estonia, 18 - 20 April 2012, S. 288 - 293
ISBN
978-1-4673-1185-4

Selbstreparatur für Logik-Baugruppen mit erweiterten Fähigkeiten für die Kompensation von Fertigungsfehlern und Frühausfällen

Author(s)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2012
Publisher name
Dresden : Fraunhofer IIS, Institutsteil EAS [u.a.]
Source
Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), Dresden, Mai 2012, Tagungsband
ISBN
978-3-8396-0404-5

Activity Migration in M-of-N-Systems by Means of Loading-Balancing

Author(s)
Ulbricht, Markus, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2012
Publisher name
Piscataway : IEEE
Source
15th Euromicro Conference on Digital Systems Design (DSD), September 2012, S. 258 - 263
ISBN
978-1-4673-2498-4

Hierarchical Self-repair in Heterogenous Multi-core Systems by Means of a Software-based Reconfiguration

Author(s)
Müller, Sebastian, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2012
Publisher name
Piscataway : IEEE
Source
VEFRE 8th Workshop on Dependability and Fault-Tolerance, Proceedings of ARCS 2012 Workshops
ISBN
978-1-4673-1913-3

Logic Self Repair Architecture with Self Test Capabilities

Author(s)
Koal, Tobias, Ulbricht, Markus, Engelke, Piet, Vierhaus, Heinrich Theodor
Editor(s)
Drechsler, Rolf
Type
conference publication
Year of publication
2012
Publisher name
Berlin [u.a.] : VDE-Verl.
Source
Zuverlässigkeit und Entwurf, 6. GMM/GI/ITG-Fachtagung vom 25. bis 27. September 2012 in Bremen
ISBN
978-3-8007-3445-0

A Satellite Internal Communication Controller: Design and Implementation

Author(s)
Veleski, Mitko, Petrovic, Vladimir, Stamenkovic, Zoran
Type
Conference Proceeding refering
Year of publication
2012
Source
Advances in Circuits, Systems, Automation and Mechanics : 11th WSEAS International Conference on Circuits, Systems Electronics, Control and Signal Processing, Montreux, S. 87 - 90
ISBN
978-1-61804-146-3
URL
https://www.researchgate.net/publication/235652387_A_Satellite_Internal_Communication_Controller_Design_and_Implementation

An Adaptive Self-Test Routine for In-Field Diagnosis of Permanent Faults in Simple RISC Cores

Author(s)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Editor(s)
Raik, Jaan
Type
conference publication
Year of publication
2012
Publisher name
Piscataway : IEEE
Source
Proceedings, 15th IEEE Interantional Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Tallinn, April 2012, S. 312 - 317
ISBN
978-1-4673-1185-4

Adaptiver softwarebasierter Selbsttest einfacher RISC-Prozessoren zur Lokalisierung von permanenten Fehlern im Feld

Author(s)
Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2012
Publisher name
Dresden : Fraunhofer IIS, Institutsteil EAS [u.a.]
Source
Dresdner Arbeitstagung für Schaltungs- und Systementwurf (DASS), Dresden, Mai 2012, Tagungsband, S. 150 - 155
ISBN
978-3-8396-0404-5

Scan Based Tests Via Standard Interfaces

Author(s)
Gleichner, Christian, Vierhaus, Heinrich Theodor, Engelke, Piet
Type
conference publication
Year of publication
2012
Publisher name
Piscataway : IEEE
Source
15th Euromicro Conference on Digital System Design (DSD), September 2012, S. 844 - 851
ISBN
978-0-7695-4798-5
978-1-4673-2498-4

IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011

Editor(s)
Vierhaus, Heinrich Theodor, Steininger, Andreas, Pawlak, A., Kraemer, Rolf, Schölzel, Mario, Raik, Jaan
Type
conference publication
Year of publication
2011
Design; Test; Zuverlässigkeit
ISBN
978-1-4244-9755-3
URL
http://www.ieee.org

Optimal Spare Utilization for Reliability and Men Litetime Improvement for Logic Built-in Self Repair

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
Zuverlässigkeit; Selbstreparatur
Source
Proceedings, IEEE DDECS 2011, Cottbus
ISBN
978-1-4244-9753-9
URL
www.computer.org

A New Hierarchical Built-In Test with On-Chip Diagnosis for VLIW Processors

Author(s)
Ulbricht, Markus, Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
Prozessoren; Test; Zuverlässigkeit
Source
IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2011), Cottbus, Germany, 13 - 15 April 2011, S. 143 - 146
ISBN
978-1-4244-9755-3
URL
www.computer.org

A New Hiararchical Built-In SElf Test with On-Chip Diagnosis for VLIW Processors

Author(s)
Ulbricht, Markus, Schölzel, Mario, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
Prozessoren; Test; Zuverlässigkeit
Source
Polian, I. (Hrsg.): Proceedings / 23. ITG / GI /GMM Tagung "Test und Zuverlässigkeit, Passau, 2011

Rekonfigurierbare Logik für Ausbeute-Optimierung und Verschleiß-Kompensation

Author(s)
Koal, Tobias, Beck, Matthias-Stephan, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
ICs; Zuverlässigkeit; Lebensdauer; Selbstreparatur
Source
5. GI /GMM/ITG-Fachtagung "Zuverlässigkeit und Entwurf"

Design and test technology for dependable systems-on-chip

Type
book
Year of publication
2011
Publisher name
Hershay, Pa. [u.a.] : Information Science Reference
Systems-on-Chip; Test; Zuverlässigkeit
ISBN
978-1-6096-0212-3

Dependability and Life Time Enhancement for Nano-Electronic Systems

Author(s)
Koal, Tobias, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
Publisher name
Poznan : Univ. of Technology, Fac. of Computing, Chair of Control and System Engineering
ICs; Mikroelektronik; Zuverlässigkeit
Source
Signal processing, SPA 2011, Poznan, 29 - 30th September 2011, conference proceedings, S. 61 - 67
ISBN
978-83-62065-02-8

On the Feasibility of Built-in Self Repair for Logic Circuits

Author(s)
Koal, Tobias, Scheit, Daniel, Schölzel, Mario, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
Publisher name
Piscataway, NJ : IEEE
ICs; Test; Zuverlässigkeit; Selbstreparatur
Source
2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011, S. 316 - 324
ISBN
978-1-4577-1713-0

Fine-Grained Software-Based Self Repair of VLIW Processors

Author(s)
Schölzel, Mario
Type
conference publication
Year of publication
2011
Publisher name
Piscataway, NJ : IEEE
Prozessoren; Test; Zuverlässigkeit; Selbstreparatur
Source
2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2011), Vancouver, British Columbia, Canada, 3 - 5 October 2011, S. 41 - 49
ISBN
978-1-4577-1713-0

Self Repair Technology for Global Interconnects on SoCs

Author(s)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
Publisher name
Hershay, Pa. [u.a.] : Information Science Reference
Source
Design and test technology for dependable systems-on-chip, S. 195 - 215
ISBN
978-1-6096-0212-3

Built-in Self Repair for Logic Structures

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
Publisher name
Hershay, Pa. [u.a.] : Information Science Reference
Source
Design and test technology for dependable systems-on-chip
ISBN
978-1-6096-0212-3

SoC Self Test Based on a Test Processor

Author(s)
Koal, Tobias, Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2011
Publisher name
Hershey [u.a.] : IGI Global
Source
Design an Test Technology for Dependable Systems on Chip, S. 360 - 375
ISBN
978-1-6096-0212-3

Self Repair by Program Reconfiguration in VLIW Processor Architectures

Author(s)
Schölzel, Mario, Pawlowski, Pawel, Dabrowski, Adam
Type
conference publication
Year of publication
2011
Publisher name
Hershay, Pa. [u.a.] : Information Science Reference
Source
Design and test technology for dependable systems-on-chip, S. 241 - 266
ISBN
978-1-6096-0212-3

Fault-tolerant integrated interconnections based on built-in self-repair and codes

Author(s)
Scheit, Daniel
Type
doctoralthesis
Year of publication
2011
Test; Zuverlässigkeit; Fehlertoleranz; Selbstreparatur
URN
urn:nbn:de:kobv:co1-opus-22679

HW / SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths

Author(s)
Schölzel, Mario
Type
conference publication
Year of publication
2010
Publisher name
Piscataway, NJ : IEEE
Source
2010 Design, Automation & Test in Europe Conference & Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010, S. 723 - 728
ISBN
978-1-4244-7054-9

Software-Based Self-Repair of Statically Scheduled Superscalar Data Paths

Author(s)
Schölzel, Mario
Type
conference publication
Year of publication
2010
Source
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Vienna, April 2010, pp. 66-71

Eingebaute Selbstreparatur zur Kompensation von Produktions- und Alterungsfehlern

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2010
Source
Elst, Günter (Hrsg.): DASS 2010, Dresdner Arbeitstagung Schaltungs- und Systementwurf. - Stuttgart : Fraunhofer-Verl., 2010 S. 73-78, 978-3-8396-0126-6

Möglichkeiten und Grenzen der Software-basierten Selbstreparatur in statisch geplanten superskalaren Prozessorarchitekturen

Author(s)
Schölzel, Mario
Type
conference publication
Year of publication
2010
Source
Elst, Günter (Hrsg.): DASS 2010, Dresdner Arbeitstagung Schaltungs- und Systementwurf. - Stuttgart : Fraunhofer-Verl., 2010 S. 79-84, 978-3-8396-0126-6

Schwachstellen und Engpässe bei Verfahren zur Fehlerkompensation und Selbstreparatur für hochintegrierte Schaltungen

Author(s)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2010
Publisher name
Berlin [u.a.] : VDE-Verlag
ICs; Fehler; Test; Selbstreparatur
Source
Zuverlässigkeit und Entwurf, 4. GMM/GI/ITG-Fachtagung vom 13. bis 15. September 2010 in Wildbad Kreuth, S. 57 - 62
ISBN
978-3-8007-3299-9

A Software Based Self Test and Hardware Reconfiguration Solution for VLIW Processors

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2010
Publisher name
Piscataway, NJ : IEEE
Prozessoren; Zuverlässigkeit; Selbstreparatur
Source
2010 IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2010), Vienna, Austria, 14 - 16 April 2010, S. 40 - 43
ISBN
978-1-4244-6612-2

Combining De-Stressing and Self Repair for Long-Term Dependable Systems

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2010
Publisher name
Piscataway, NJ : IEEE
ICs; Zuverlässigkeit; Test; Selbstreparatur
Source
2010 IEEE 13th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS 2010), Vienna, Austria, 14 - 16 April 2010, S. 99 - 104
ISBN
978-1-4244-6613-9

Effiziente Verfahren der Selbstreparatur von Logik

Author(s)
Gleichner, Christian, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2010
ICs; Zuverlässigkeit; Selbstreparatur
Source
Hellebrand, S. (Hrsg.): Tagungsband TuZ 2010, Paderborn

Effective Logic Self Repair Based on Extracted Logic Clusters

Author(s)
Gleichner, Christian, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2010
Publisher name
IEEE
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Signal Processing Algorithms, Architectures, Arrangements, and Applications Conference Proceedings (SPA), 2010, Poznan
ISBN
978-1-4577-1485-6

Combining Hardware and Software Based Self Repair Methods for Statically Scheduled Data Paths

Author(s)
Müller, Sebastian, Schölzel, Mario
Type
conference publication
Year of publication
2010
Publisher name
Piscataway, NJ : IEEE
Prozessoren; Zuverlässigkeit; Selbstreparatur
Source
2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 2010), Kyoto, Japan, 6 - 8 October 2010, S. 90 - 98
ISBN
978-1-424-48447-8

Effiziente Verfahren der Selbstreparatur von Logik

Author(s)
Gleichner, Christian, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
Scientific Article ref.
Year of publication
2010
Source
TuZ (2010)pp. 79-84

Test Data and Power Reductions for Transition Delay Tests for Massive Parallel Scan Structures

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2010
Publisher name
Piscataway, NJ : IEEE
ICs; Test; low-power
Source
2010 13th Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD 2010), Lille, France, 1 - 3 September 2010, S. 283 - 290

Scaling the Discrete Cosine Transformation for Fault-Torelant Real-Time Execution

Author(s)
Schölzel, Mario
Type
conference publication
Year of publication
2009
Publisher name
Poznan : Univ. of Technology, Fac. of Computing Science and Management
Source
Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings, S. 19 - 24
ISBN
978-83-62065-00-4

A Comprehensive Scheme for Logic Self Repair

Author(s)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
Publisher name
Poznan : Univ. of Technology, Fac. of Computing Science and Management
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Signal processing, SPA 2009, Poznan, 24th - 26th September 2009, conference proceedings, S. 13 - 18
ISBN
978-83-62065-00-4

A Concept for Logic Self Repair

Author(s)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
Publisher name
Piscataway, NJ : IEEE
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
12th Euromicro Conference on Digital System Design, DSD '09, 27 - 29 Aug. 2009, Patras, Greece, S. 621 - 624
ISBN
978-1-424-44768-8

Reliability Estimation Process

Author(s)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
Publisher name
Piscataway, NJ : IEEE
ICs; SoCs; Zuverlässigkeit; Fehlertoleranz
Source
12th Euromicro Conference on Digital System Design, DSD '09, 27 - 29 Aug. 2009, Patras, Greece, S. 221 - 224
ISBN
978-1-424-44768-8

Selbstreparatur durch Regularisierung von Logik-Strukturen

Author(s)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Zuverlässigkeit und Entwurf, 3. GMM/GI/ITG-Fachtagung vom 21. bis 23. September 2009 in Stuttgart, S. 29 - 36
ISBN
978-3-8007-3178-7

A Scheme of Logic Self Repair Including Local Interconnects

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
Publisher name
Piscataway, NJ : IEEE
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS, Liberec, Czech Republic, 15 - 17 April 2009
ISBN
978-1-4244-3339-1

A Delay Estimation of Rescheduling Schemes for Statically Scheduled Processors

Author(s)
Schölzel, Mario
Type
conference publication
Year of publication
2009
Publisher name
Berlin [u.a.] : VDE-Verlag
Prozessoren; Fehlertoleranz
Source
Workshop proceedings, ARCS 2009, 22th International Conference on Architecture of Computing Systems, March 11, 2009, Delft, The Netherlands, S. 117 - 124
ISBN
978-3-8007-3133-6

Logik-Selbstreparatur auf der Basis elementarer Logik-Blöcke mit lokaler Redundanz

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Drechsler, R. (Hrsg.): Proc. TUZ 2009, U. Bremen

Logic Self Repair Based on Regular Buidling Blocks

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Proc. ARCS2009 Workshop on Fault Tolerance,VDI / VDE

Logic Self Repair Based on Regular Building Blocks

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
Publisher name
Berlin [u.a.] : VDE-Verl.
Source
Workshop proceedings, ARCS 2009, 22th International Conference on Architecture of Computing Systems, March 11, 2009, Delft, The Netherlands, S. 71 - 76
ISBN
978-3-8007-3133-6

Zentrale und dezentrale Selbstreparatur von Bussen

Author(s)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Drechsler, R. (Hrsg.): Proceedings TUZ 2009, Bremen

Zentrale und dezentrale Selbstreparatur von Bussen

Author(s)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2009
Publisher name
Berlin [u.a.] : VDE-Verlag
ICs; SoCs; Zuverlässigkeit; Fehlertoleranz
Source
Proceedings, EdaWorkshop 09, Dresden (Germany), May 26 - 28, 2009, S. 37 - 42
ISBN
978-3-8007-3165-7

Basic Architecture for Logic Self Repair

Author(s)
Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2008
Source
14th IEEE International On-Line Testing Symposium, 2008. - Piscataway : IEEE, 2008, S. 177-178, 978-0-7695-3264-6

Simulated fault injections and their acceleration in system C

Author(s)
Misera, Silvio Andre, Vierhaus, Heinrich Theodor
Type
article
Year of publication
2008
Fehlersimulation
Source
Journal of Microprocessors and Microsystems 32(2008)5-6, pp. 270-278, 0141-9331

Test Technology for Sequential Circuits

Author(s)
Vierhaus, Heinrich Theodor, Stamenković, Zoran
Editor(s)
Oklobdzija, Vojin G.
Type
part of book (chapter)
Year of publication
2008
Publisher name
Boca Raton : CRC Press
Test; Testable Design
Source
The Computer Engineering Handbook, Part 1, Digital design and fabrication
ISBN
978-0-8493-8602-2

Embedded Diagnostic Logic Test Exploiting Regularity

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Editor(s)
Fanucci, Luca
Type
conference publication
Year of publication
2008
Publisher name
Piscataway, NJ : IEEE
IC-Test
Source
Proceedings, 11th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, 2008, DSD '08, 3 - 5 Sept. 2008, Parma, Italy, S. 873 - 879

Möglichkeiten und Grenzen der Selbstreparatur für Logik

Author(s)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2008
Publisher name
Dresden : Fraunhofer Institut für integrierte Schaltungen
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS'2008), Workshop Entwurf integrierter Schaltungen (WEIS'08) 15. - 16. Mai 2008
ISBN
3-9810287-2-4

Ein hybrides Selbsttest- und Reparaturkonzept für VLIW-Prozessoren

Author(s)
Schölzel, Mario
Type
conference publication
Year of publication
2008
Prozessoren; Test; Zuverlässigkeit
Source
Steininger, A. (Hrsg.): Proc. 20th ITG-GI-GMM-Workshop "Test und Zuverlässigkeit" 2008, TU Wien, Februar 2008

Logic Self Repair based on Regular Building Blocks

Author(s)
Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2008
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Dabrowksi, A. (Hrsg.): Proc. IEEE NTAV /SPA 2008, Poznan

Möglichkeiten und Grenzen der Selbstreparatur für Logik

Author(s)
Koal, Tobias, Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2008
Publisher name
Berlin [u.a.] : VDE-Verl.
ICs; SoCs; Zuverlässigkeit; Fehlertoleranz
Source
Zuverlässigkeit und Entwurf, 2. GMM/GI/ITG-Fachtagung vom 29. September bis 1. Oktober 2008 in Ingolstadt, S. 57 - 64
ISBN
978-3-8007-3119-0
GMM Fachbericht ; 57

Angepasste Fehlerdiagnose für die Selbstreparatur in logischen Schaltungen

Author(s)
Kothe, René, Scheit, Daniel, Vierhaus, Heinrich Theodor
Editor(s)
Elst, G.
Type
conference publication
Year of publication
2008
Publisher name
Dresden : Fraunhofer Institut für integrierte Schaltungen
Source
Dresdner Arbeitstagung Schaltungs- und Systementwurf, (DASS'2008), Workshop Entwurf integrierter Schaltungen (WEIS'08) 15. - 16. Mai 2008
ISBN
3-9810287-2-4

Fault Diagnosis in Logic Circuits Exploiting Regularity

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2008
Publisher name
Berlin [u.a.] : VDE-Verl.
Source
Tagungsband, edaWorkshop 08, Hannover, 6. - 7. Mai 2008, S. 57 - 62
ISBN
978-3-8007-3101-5

Fehlertolerante integrierte Verbindungsstrukturen

Author(s)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Editor(s)
Elst, G.
Type
conference publication
Year of publication
2008
Publisher name
Dresden : Fraunhofer Institut für integrierte Schaltungen
Source
Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS'2008), S. 15 - 16
ISBN
3-9810287-2-4

Fehlertoleranz und Selbstreparatur von Verbindungsstrukturen auf SoCs

Author(s)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Editor(s)
Steininger, Andreas
Type
conference publication
Year of publication
2008
Publisher name
Wien : Techn. Univ.
Source
20. ITG-GI-Workshop, Wien, TUZ 2008, S. 121 - 126

Fehlertolerante Busse basierend auf Codes und Selbstreparatur

Author(s)
Scheit, Daniel, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2008
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Zuverlässigkeit und Entwurf : 2. GMM/GI/ITG-Fachtagung in Ingolstadt, September 2008. - Offenbach : VDI/VDE-Verlag, 2008, S. 157 - 158, 978-3-8007-3119-0 (GMM-Fachbericht ; 57)

A Scan Controller Concept for Low-Power Scan Test

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Type
article
Year of publication
2008
Test; Low-Power
Source
Journal of Low-Power Electronis Vol. 4(2008) 1-9, 1546-1998

Simulation von Fehlern in digitalen Schaltungen mit SystemC

Author(s)
Misera, Silvio Andre
Type
doctoralthesis
Year of publication
2007
Digitalschaltung; SystemC; Fehlererkennung; Fehlerbehandlung; Fehlersimulation
URN
urn:nbn:de:kobv:co1-opus-4063

Flip-Flops and Scan Path Elements for Nanoelectronics

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2007
Publisher name
IEEE
ICs; Test; Zuverlässigkeit; Fehlertoleranz
Source
Proceedings, Design and Diagnostics of Electronic Circuits and Systems DDECS '07, Krakow, 11 - 13 April 2007
ISBN
1-4244-1162-9

Hardware-nahe Fehlersimulation mit effektiven SystemC-Modellen

Author(s)
Misera, Silvio Andre, Sieber, André
Type
conference publication
Year of publication
2007
Publisher name
Aachen : Shaker
ICs; Fehler; Test; Fehlersimulation
Source
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 10. GI/ITG/GMM-Workshop Modellierung und Verifikation, S. 39 - 48

Reparaturfunktionen für Bus-Strukturen auf SoCs

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Editor(s)
Sattler, Sebastian
Type
conference publication
Year of publication
2007
Publisher name
Berlin [u.a.] : VDE-Verl.
ICs; SoCs; Test; Selbstreparatur
Source
Zuverlässigkeit und Entwurf, 1. GMM/GI/ITG-Fachtagung vom 26. bis 28. März 2007 in München, S. 77 - 84
ISBN
978-3-8007-3023-0
3-8007-3023-5
GMM-Fachbericht ; 52

Repair Functions and Redundancy Management for Bus Structures

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2007
Publisher name
Berlin [u.a.] : VDE-Verlag
ICs; Zuverlässigkeit; Fehlertoleranz
Source
Workshop proceedings, ARCS 2007, 20th International Conference on Architecture of Computing Systems, March 15, 2007, Zurich, Switzerland
ISBN
978-3-8007-3015-5

Reduced Triple Modular Redundancy for Built-in Self Repair in VLIW Processors

Author(s)
Schölzel, Mario
Type
conference publication
Year of publication
2007
Prozessoren; Zuverlässigkeit; Selbstreparatur
Source
Dabrowski, A. (Hrsg.): Proc. IEEE SPA 2007, Poznan, 2007

Timing / Power Optimization for Digital Logic Based on Standard Cells

Author(s)
Misera, Silvio Andre, Rossmann, Helmut, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2007
IC design timing; power; Optimierung
Source
Proceedings / 10th Euromicro Conference, DSD, 2007, Lübeck, Sept. 2007, IEEE CS Press, 2007, pp. 303 - 306, 0-7695-2978-X

Fault Injection Techniques and their Acccelerated Simulation in SystemC

Author(s)
Sieber, André, Misera, Silvio Andre, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2007
Publisher name
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
ICs; Test; Fehlersimulation
Source
Proceedings, 10th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2007, 29 - 31 August 2007, Lübeck, Germany, S. 587 - 595
ISBN
978-0-7695-2978-3

A Configurable Modular Test Processor and Scan Controller Architecture

Author(s)
Frost, Raik, Rudolph, D., Galke, Christian, Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2007
Publisher name
Piscataway, NJ : IEEE
ICs; SoCs; Test; Selbsttest
Source
Proceedings, IOLTS 2007, 13th IEEE International On-Line Testing Symposium, Heraklion, Crete, Greece, 8 - 11 July 2007, S. 277 - 284
ISBN
0-7695-2918-6

Möglichkeiten und Grenzen der automatischen SBST-Generierung für einfache Prozessoren

Author(s)
Galke, Christian, Koal, Tobias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2007
Publisher name
Dresden : TUDpress, Verl. der Wissenschaften
Prozessoren; Test; Zuverlässigkeit
Source
Dresdner Arbeitstagung Schaltungs- und Systementwurf - (DASS' 2007), 8. - 9. Mai 2007, S. 39 - 44
ISBN
978-3-940046-28-4
Wissenschaftliche Schriftenreihe Eingebettete, selbstorganisierende Systeme ; 5

Hardware-nahe Fehlersimulation mit effektievn SystemC-Modellen

Author(s)
Misera, Silvio, Sieber, André
Editor(s)
Haubelt, Christian, Teich, Jürgen
Type
part of book (chapter)
Year of publication
2007
Publisher name
Aachen : Shaker
Source
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, S. 39 - 48
ISBN
978-3-8322-5956-3
Berichte aus der Informatik

Diagnostics Logic Testing Based on Advanced Scan Technology and an Embedded Test Processor

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Type
report
Year of publication
2007
Publisher name
Cottbus : BTU
Computer Science Report ; 2007,1

Embedded Fault Diagnosis Exploiting Regularity

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2007
ICs; SoCs; Fehler; Test; Diagnose
Source
Dabrowski, A. (Hrsg.): Proc. IEEE SPA 2007, Poznan

Fehlerinjektionstechniken in SystemC-Beschreibungen mit Gate- und Switch-Level-Verhalten

Author(s)
Misera, Silvio, Sieber, Andre
Type
conference publication
Year of publication
2007
Publisher name
Dresden : TUDpress
Source
Tagungsband, Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2007), 8. - 9. Mai 2007, S. 29 - 34
ISBN
978-3-940046-28-4
Wissenschaftliche Schriftenreihe Eingebettete, selbstorganisierende Systeme ; 5

Fehlerhärtung und Fehlertoleranz für Flip-Flops und Scan-Path-Elemente

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2007
Publisher name
Dresden : TUDpress
Source
Tagungsband, Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2007), 8. - 9. Mai 2007, S. 67 - 72
ISBN
978-3-940046-28-4
Wissenschaftliche Schriftenreihe Eingebettete, selbstorganisierende Systeme ; 5

Logic Self Repair

Author(s)
Galke, Christian, Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
Publisher name
Bonn : Ges. für Informatik
Source
Workshop proceedings, ARCS '06, 19th International Conference on Architecture of Computing Systems, March 16, 2006, Frankfurt am Main, S. 36 - 44
ISBN
978-3-88579-175-1

Evaluating Coverage of Error Detection Logic for Soft Errors using Formal Methods

Author(s)
Krautz, U., Pflanz, Matthias, Vierhaus, Heinrich Theodor, Jacobi, C., Tast, H. W.
Type
conference publication
Year of publication
2006
Publisher name
Piscataway, NJ : IEEE
Source
Design, automation and test in Europe, Munich, Germany, March 6 - 10, 2006, proceedings, vol. 1, S. 176 - 181
ISBN
3-9810801-1-4

Embedded Self Repair by Transistor and Gate Level Reconfiguration

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor, Coym, Torsten, Vermeiren, W., Straube, B.
Type
conference publication
Year of publication
2006
Publisher name
IEEE
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
Design and Diagnostics of Electronic Circuits and systems, (DDECS 2006), Prag, April 2006, S. 208 - 213
ISBN
1-4244-0185-2

Built-in Self Repair by Reconfiguration of FPGAs

Author(s)
Habermann, Sven, Kothe, René, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
Publisher name
Los Alamitos, Calif [u.a.] : IEEE Computer Society
ICs; FPGAs; Zuverlässigkeit; Selbstreparatur
Source
Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006, S. 187 - 188
ISBN
978-0-7695-2620-1

Timing-Power-getriebener Layout-Entwurf für Zellen-basierte Digitalschaltungen

Author(s)
Vick, Axel, Rossmann, Helmut, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
ICs; Layout; Synthese; Timing; Power
Source
Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 9. ITG/GI/GMM Workshop, 20. - 22. Februar 2006, Dresden, S. 61 - 69

An Embedded Test Strategy for Global and Regiional Interconnects on SoCs

Author(s)
Kothe, René, Vierhaus, Heinrich Theodor
Editor(s)
Dabrowski, Adam
Type
conference publication
Year of publication
2006
Bus-Test; ICs; Test
Source
Signal processing '2006, workshop proceedings, Poznan, 29th September 2006, S. 65 - 70
ISBN
83-913251-7-2

A Mixed Level Fault Simulation of VHDL and SystemC

Author(s)
Misera, Silvio Andre, Breitenfeld, Lars, Sieber, André, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
Test; Fehlersimulation
Source
Proceedings / 10th Euromicro Conference, DSD, 2006, Dubrovnik, IEEE CS Press 2006, pp. 275-279, 0-7695-2609-8

Eine Mixed-Language-Fault-Simulation von VHDL- und SystemC-Modellen

Author(s)
Misera, Silvio Andre, Sieber, André, Breitenfeld, Lars, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
Publisher name
Dresden : Fraunhofer-Institut
ICs; SoCs; Zuverlässigkeit; Fehlersimulation
Source
Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006

Selbstreparatur von Logik-Baugruppen in hochintegrieten Schaltungen- Möglichkeiten und Grenzen

Author(s)
Kothe, René, Habermann, Sven, Vierhaus, Heinrich Theodor, Coym, Torsten, Vermeiren, W., Straube, B.
Type
conference publication
Year of publication
2006
Publisher name
Dresden : Fraunhofer-Institut
ICs; SoCs; Fehlertoleranz; Selbstreparatur
Source
Dresdner Arbeitstagung Schaltungs- und Systementwurf (DASS'2006), 10. - 11. Mai 2006

Hierarchische Fehlersimulation mit effektiven SystemC-Modellen

Author(s)
Misera, Silvio
Editor(s)
Bachmann, Peter, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
Publisher name
Cottbus : BTU, Inst. of Computer Science
Source
1st Cooperation Workshop of Computer Science, 2006, Cottbus, S. 31 - 36
Computer science reports ; 2006,3

Hardware/Software Based Hierarchical Self Test for SoCs

Author(s)
Kothe, René, Galke, Christian, Schultke, Sabine, Fröschke, Henry, Gaede, Steffen, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
Publisher name
Piscataway, NJ : IEEE Service Center
Source
Proceedings of the 2006 IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, April 18-21, 2006, Prague, Czech Republic, S. 157 - 158
ISBN
1-4244-0185-2

Embedded Scan Test with Diagnostic Features for Self-Testing SoCs

Author(s)
Galke, Christian, Kothe, René, Schultke, Sabine, Winkler, Christin, Honko, Jeanette, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
Publisher name
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
ICs; Test; Selbsttest
Source
Proceedings - IOLTS 2006, 12th IEEE International On-Line Testing Symposium, Lake of Como, Italy, July 10 - 12, 2006, S. 181 - 182
ISBN
978-0-7695-2620-1

Scan-Based SoC Test Using Space / Time Pattern Compaction Schemes

Author(s)
Galke, Christian, Gätzschmann, Uwe, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
ICs; SoCs; Test; Test Compression
Source
Proceedings / 9th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, DSD 2006. - Los Alamitos, Calif. [u.a.] : IEEE Computer Society, 2006, S. 433 - 438, 0-7695-2609-8

Redundanz-Management und Fehlerisolierung für die Selbstreparatur in digitalen und analogen Schaltungen

Author(s)
Kothe, René, Galke, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2006
Publisher name
Berlin [u.a] : VDE-Verlag
ICs; SoCs; Zuverlässigkeit; Selbstreparatur
Source
ANALOG '06, Vorträge der 9. ITG/GMM-Fachtagung vom 27. bis 29. September 2006 in Dresden, S. 57 - 62
ISBN
978-3-8007-2988-3
ITG-Fachbericht ; 196

Selbstreparatur von Logik-Baugruppen in hochintegrierten Schaltungen - Möglichkeiten und Grenzen

Author(s)
Kothe, René, Habermann, Sven, Vierhaus, Heinrich Theodor
Type
Scientific Article not ref.
Year of publication
2006
Source
Forum der Forschung, S. 125 - 130
Volume
10
Issue/Journal number
19
ISSN
0947-6989

Power-Timing Optimierung für Zellen-basierte Digitalschaltungen in Submikron-Technologien

Author(s)
Vierhaus, Heinrich Theodor, Rossmann, Helmut
Type
conference publication
Year of publication
2005
Publisher name
Bonn : Gesellschaft für Informatik
Source
Informatik 2005 - Informatik LIVE!, Beiträge der 35. Jahrestagung der Gesellschaft für Informatik e.V., 19. - 22. September 2005 in Bonn, Bd. 1, S. 339 - 343
ISBN
3-88579-396-2
GI-Edition : Proceedings ; 67

A Multi-Purpose Concept for SoC Self Test Including Diagnostics Features

Author(s)
Kothe, René, Galke, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2005
Publisher name
IEEE Computer Society : Los Alamitos, Calif. [u.a.]
Source
11th IEEE International On-Line Testing Symposium, 2005, IOLTS 2005, 6 - 8 July 2005, [Saint Raphael, French Riviera, France, proceedings], S. 241 - 246
ISBN
0-7695-2406-0

Eine Simulationsumgebung zur Validierung des Fehlerverhaltens für Prozessor-basierte Systeme

Author(s)
Galke, Christian, Misera, Silvio, Fröschke, Henry, Vierhaus, Heinrich Theodor
Editor(s)
Hellebrand, Sybille
Type
conference publication
Year of publication
2005
Source
Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 17. ITG/GI/GMM Workshop, 27. Febr. - 1. März 2005, Hilton Innsbruck, Österreich

Parallele hierarchische Fehlersimulation zur Validierung des Fehlerverhaltens für SoCs

Author(s)
Galke, Christian, Vierhaus, Heinrich Theodor, Misera, Silvio, Fröschke, Henry
Type
conference publication
Year of publication
2005
Source
GI/ITG/GMM-Workshop Modellierung und Verifikation, 2005 an der TU München

Ein flexibler Ansatz für den Scan-Test von SoCs

Author(s)
Gätzschmann, Uwe, Galke, Christian, Vierhaus, Heinrich Theodor, Kaibel, M.
Type
conference publication
Year of publication
2005
Source
17. ITG-GI-GMM-Workshop Test und Zuverlässigkeit von Schaltungen und Systemen, Inssbruck, Febr./März 2005, S. 32-36

Transistor- and Gate Level Self Repair for Logic Circuits

Author(s)
Vierhaus, Heinrich Theodor, Dabrowski, Adam
Type
conference publication
Year of publication
2005
Source
Signal processing '2005, workshop proceedings, Poznan, 30th September 2005, S. 7 - 12
ISBN
83-913251-6-4

Application Specific Processor Design for Digital Signal Processing

Author(s)
Schölzel, Mario, Bachmann, Peter, Vierhaus, Heinrich Theodor
Editor(s)
Dabrowski, Adam
Type
conference publication
Year of publication
2004
Publisher name
Poznan : Poznan Univ. of Technology
Source
Signal processing '2004, workshop proceedings, Poznan, 24th September 2004, S. 7 - 15
ISBN
83-913251-5-6

A Hierarchical Self Test Scheme for SoCs

Author(s)
Kretzschmar, Claudia, Galke, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2004
Source
Metra, Cecilia (Hrsg.): Proceedings / 10th IEEE International On-Line Testing Symposium, IOLTS 2004 : 12 - 14 July 2004, Funchal, Madeira Island, Portugal. - Los Alamitos, Calif. [u.a.] : IEEE Computer Society, 2004, S. 37-42, 0-7695-2180-0

Ein funktionales Selbsttest-Konzept für Prozessor-Strukturen am Beispiel des Testprozessors T5016p

Author(s)
Schwabe, Hanko, Galke, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2004
Source
Straube, Bernd (Red.): Testmethoden und Zuverlässigkeit von Schaltungen und Systemen : 16. ITG/GI/GMM Workshop, 29. Februar - 2. März 2004 in Dresden, Dresden : Fraunhofer IIS/EAS, 2004

Ein flexibles Verfahren zur Testdaten-Kompaktierung und -Dekompaktierung für den Scan-Test

Author(s)
Gätzschmann, Uwe, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2004
Source
Straube, Bernd (Red.): Testmethoden und Zuverlässigkeit von Schaltungen und Systemen : 16. ITG/GI/GMM Workshop, 29. Februar - 2. März 2004 in Dresden, Dresden : Fraunhofer IIS/EAS, 2004

FIT - a parallel hierarchical fault simulator

Author(s)
Misera, Silvio Andre, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2004
Publisher name
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Source
PARELEC 2004, International Conference on Parallel Computing in Electrical Engineering, and Workshop on System Design Automation (SDA), 7 - 10 September 2004, Dresden, Germany, S. 289 - 296
ISBN
0-7695-2080-4

Control Signal Protection - A New Challenge for High Performance Processors

Author(s)
Pflanz, Matthias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2003
Publisher name
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Source
Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece, S. 173 - 177
ISBN
0-7695-1968-7

Kompaktierung von Testmustern für den Test von SoCs mittels einer Testprozessor-Architektur

Author(s)
Galke, Christian, Grabow, M., Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2003
Source
15. ITG-GI-GMM-Workshop: Test und Zuverlässigkeit von Schaltungen und Systemen, Timmendorfer Strand, März 2003 (Poster-Session)

Test Pattern De-/Compaction for SoC Test in a Test Processor Environment

Author(s)
Galke, Christian, Grabow, M., Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2003
Source
8th IEEE European Test Workshop, Maastricht, May 2003 (Poster-Session)

Perspectives of combining online and offline test technology for dependable systems on a chip

Author(s)
Galke, Christian, Grabow, M., Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2003
Publisher name
Los Alamitos, Calif. [u.a.] : IEEE
Source
Proceedings, 9th IEEE International On-Line Testing Symposium, IOLTS 2003, 7 - 9 July 2003, Kos International Convention Center, Kos Island, Greece, S. 183 - 187
ISBN
0-7695-1968-7

Detection and Compensation of Transient Errors in Processor Structures

Author(s)
Galke, Christian, Pflanz, Matthias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2003
Source
6th IEEE Intern. Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Poznan, April 2003

Online error detection and fast recover techniques for dependable embedded processors

Author(s)
Pflanz, Matthias
Type
doctoralthesis
Year of publication
2002
Publisher name
Berlin [u.a.] : Springer
ISBN
3-540-43318-X
Lecture notes in computer science ; 2270

A Test Processor Concept for Systems-on-a-Chip

Author(s)
Galke, Christian, Pflanz, Matthias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2002
Source
Proceeding IEEE International Conference on Computer Design (ICCD), Freiburg, pp. 210-213, 0-7695-1700-5

Testing of Synchronous Sequential Digital Circuits

Author(s)
Gläser, Uwe, Stamenković, Zoran, Vierhaus, Heinrich Theodor
Editor(s)
Oklobdzija, Vojin G.
Type
part of book (chapter)
Year of publication
2002
Publisher name
Boca Raton [u.a.] : CRC Press
Source
The Computer Engineering Handbook, S. 45-1 - 45-22
ISBN
0-8493-0885-2

On-Line Error Detection and Correction in Storage Elements with Cross-Parity Check

Author(s)
Pflanz, Matthias, Walther, Karsten, Galke, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2002
Publisher name
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Source
Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France, S. 69 - 73
ISBN
0-7695-1641-6

On-Line Detection and Compensation of Transient Errors in Processor Pipeline Structurs

Author(s)
Galke, Christian, Pflanz, Matthias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2002
Publisher name
Los Alamitos, Calif. [u.a.] : IEEE Computer Society
Source
Proceedings of the Eighth IEEE International On-Line Testing Workshop, (IOLTW 2002), 8 - 10 July 2002, Isle of Bendor, France
ISBN
0-7695-1641-6

Hardware/Software Based Test Techniques for Systems on a Chip with Embedded Processors

Author(s)
Galke, Christian, Mohaupt, Thomas, Pflanz, Matthias, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2002
Source
BTU Computer Science Reports No. 05/02

Hardware/Software basierter Selbsttest für System on a Chip (SOCs) mit eingebetteten Prozessoren

Author(s)
Galke, Christian, Pflanz, Matthias, Hennig, Hardy, Vierhaus, Heinrich Theodor
Editor(s)
Wagner, Ralph
Type
conference publication
Year of publication
2002
Source
Proceeding 14. ITG-Gi-Workshop "Test und Zuverlässigkeit von Schaltungen und Systemen", Bad Herrenalb

On-Line Built-In Self-Check Techniken für zuverlässige eingebettete Prozessoren mit hoher Konplexität

Author(s)
Pflanz, Matthias, Walther, Karsten, Vierhaus, Heinrich Theodor
Editor(s)
Alt, Jürgen
Type
conference publication
Year of publication
2001
Source
Procedding 13. ITG-GI-Workshop "Test und Zuverlässigkeit von Schaltungen und Systeme", Miesbach

On-line Error Detection Techniques for Depandable Embedded Processors with High Complexity

Author(s)
Pflanz, Matthias, Walther, Karsten, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2001
Source
Proceeding 7th IEEE International On-Line Test Workshop
Source
Proceedings, Seventh International On-Line Testing Workshop, 9 - 11 July 2001, Giardini Naxos, Taormina, Italy, S. 51 - 53
ISBN
0-7695-1290-9

Online Check and Recovery Techniques for Depandable Embedded Processors

Author(s)
Pflanz, Matthias, Vierhaus, Heinrich Theodor
Type
Scientific Article ref.
Year of publication
2001
Source
IEEE micro, S. 24 - 40
Volume
21
Issue/Journal number
5
ISSN
0272-1732

A Register Transfer Fault Simulator for Permanent and Transient Faults in Embedded Processors

Author(s)
Rousselle, Christian, Pflanz, Matthias, Behling, A., Mohaupt, Thomas, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2001
Source
Proceedings, Design, Automation and Test in Europe, Conference and Exhibition 2001, Munich, Germany, March 13 - 16, 2001, S. 811
ISBN
0-7695-0993-2
0-7695-0994-0

Design and Architecture of Dependable Computer-Based Systems

Author(s)
Vierhaus, Heinrich Theodor, Pflanz, Matthias, Mohaupt, Thomas
Type
Scientific Article not ref.
Year of publication
2000
Source
Proceedings of the Polish-German Symposium on Science-Research-Education (SRE), Sept. 2000, S.183-192, 83-85911-86-3

A New Method for On-Line State Machine Observation for Embedded Microprocessors

Author(s)
Pflanz, Matthias, Galke, Christian, Vierhaus, Heinrich Theodor
Type
conference publication
Year of publication
2000
Source
Proceedings / IEEE International High-Level Design Validation and Test Workshop : 8 - 10 November 2000, Berkeley, California. - Los Alamitos, Calif. [u.a.] : IEEE Computer Society, 2000, S. 34-39, 0-7695-0786-7